Index of /alpine/edge/testing/armhf

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 20:07 2.3KUbuntu Linux
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 20:07 16KUbuntu Linux
[   ]alpine-lift-0.2.0-r28.apk2025-12-04 13:54 3.7MAlpine Linux
[   ]zydis-doc-4.1.0-r0.apk2024-10-25 20:07 1.6M 
[   ]zydis-dev-4.1.0-r0.apk2024-10-25 20:07 61K 
[   ]zydis-4.1.0-r0.apk2024-10-25 20:07 211K 
[   ]zycore-doc-1.5.0-r1.apk2025-07-15 08:22 433K 
[   ]zycore-dev-1.5.0-r1.apk2025-07-15 08:22 37K 
[   ]zycore-1.5.0-r1.apk2025-07-15 08:22 20K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-11 20:40 21K 
[   ]zvbi-0.2.44-r0.apk2025-03-11 20:40 180K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:01 67K 
[   ]zutty-0.16-r0.apk2025-01-12 21:01 149K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 20:07 2.8K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 20:07 754K 
[   ]zpaq-doc-7.15-r0.apk2025-10-09 03:50 16K 
[   ]zpaq-7.15-r0.apk2025-10-09 03:50 166K 
[   ]zizmor-doc-1.17.0-r0.apk2025-11-26 17:09 2.3K 
[   ]zizmor-1.17.0-r0.apk2025-11-26 17:09 4.1M 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-14 21:16 4.0K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-14 21:16 3.3K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-14 21:16 17K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 20:07 5.2K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 20:07 26K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 20:07 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 20:07 110K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 20:07 16K 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 20:07 128K 
[   ]zfs-src-2.4.0-r0.apk2025-12-19 08:45 33M 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 20:07 22K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 20:07 6.7K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 20:07 15K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 20:07 19M 
[   ]z-doc-1.12-r0.apk2024-10-25 20:07 3.9K 
[   ]z-1.12-r0.apk2024-10-25 20:07 4.6K 
[   ]yubikey-agent-0.1.6-r17.apk2025-12-04 13:54 1.9M 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-14 21:14 2.1K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-14 21:14 78K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-14 21:14 2.3K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-14 21:14 50K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 05:05 172K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 05:05 41K 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 05:05 84K 
[   ]yosys-dev-0.57-r0.apk2025-10-24 21:15 277K 
[   ]yosys-0.57-r0.apk2025-10-24 21:15 23M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-13 22:33 4.5M 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-13 22:33 5.9M 
[   ]yices2-libs-2.6.5-r0.apk2025-03-02 23:04 731K 
[   ]yices2-dev-2.6.5-r0.apk2025-03-02 23:04 41K 
[   ]yices2-2.6.5-r0.apk2025-03-02 23:04 1.9M 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 20:07 2.2K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 20:07 11K 
[   ]ydcv-0.7-r8.apk2024-10-25 20:07 20K 
[   ]yaru-theme-viridian-25.10.1-r0.apk2025-07-15 08:22 760K 
[   ]yaru-theme-sage-25.10.1-r0.apk2025-07-15 08:22 763K 
[   ]yaru-theme-red-25.10.1-r0.apk2025-07-15 08:22 760K 
[   ]yaru-theme-purple-25.10.1-r0.apk2025-07-15 08:22 757K 
[   ]yaru-theme-prussiangreen-25.10.1-r0.apk2025-07-15 08:22 760K 
[   ]yaru-theme-olive-25.10.1-r0.apk2025-07-15 08:22 759K 
[   ]yaru-theme-mate-25.10.1-r0.apk2025-07-15 08:22 772K 
[   ]yaru-theme-magenta-25.10.1-r0.apk2025-07-15 08:22 762K 
[   ]yaru-theme-hdpi-25.10.1-r0.apk2025-07-15 08:22 73K 
[   ]yaru-theme-blue-25.10.1-r0.apk2025-07-15 08:22 766K 
[   ]yaru-theme-bark-25.10.1-r0.apk2025-07-15 08:22 763K 
[   ]yaru-theme-25.10.1-r0.apk2025-07-15 08:22 840K 
[   ]yaru-sounds-25.10.1-r0.apk2025-07-15 08:22 1.2M 
[   ]yaru-shell-25.10.1-r0.apk2025-07-15 08:22 227K 
[   ]yaru-schemas-25.10.1-r0.apk2025-07-15 08:22 1.9K 
[   ]yaru-icon-theme-viridian-25.10.1-r0.apk2025-07-15 08:22 1.2M 
[   ]yaru-icon-theme-sage-25.10.1-r0.apk2025-07-15 08:22 1.2M 
[   ]yaru-icon-theme-red-25.10.1-r0.apk2025-07-15 08:22 1.2M 
[   ]yaru-icon-theme-purple-25.10.1-r0.apk2025-07-15 08:22 1.2M 
[   ]yaru-icon-theme-prussiangreen-25.10.1-r0.apk2025-07-15 08:22 1.2M 
[   ]yaru-icon-theme-olive-25.10.1-r0.apk2025-07-15 08:22 1.1M 
[   ]yaru-icon-theme-mate-25.10.1-r0.apk2025-07-15 08:22 1.3M 
[   ]yaru-icon-theme-magenta-25.10.1-r0.apk2025-07-15 08:22 1.1M 
[   ]yaru-icon-theme-blue-25.10.1-r0.apk2025-07-15 08:22 1.2M 
[   ]yaru-icon-theme-bark-25.10.1-r0.apk2025-07-15 08:22 1.1M 
[   ]yaru-icon-theme-25.10.1-r0.apk2025-07-15 08:22 35M 
[   ]yaru-common-25.10.1-r0.apk2025-07-15 08:22 3.8M 
[   ]yarn-berry-4.9.1-r0.apk2025-04-15 22:00 1.0M 
[   ]yamldiff-doc-0.3.0-r3.apk2025-12-04 13:54 2.3K 
[   ]yamldiff-0.3.0-r3.apk2025-12-04 13:54 1.6M 
[   ]yaml-language-server-doc-1.19.2-r0.apk2025-10-08 22:16 2.3K 
[   ]yaml-language-server-1.19.2-r0.apk2025-10-08 22:16 666K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 20:07 12K 
[   ]yamkix-0.10.0-r1.apk2024-10-25 20:07 14K 
[   ]xwayland-satellite-0.7-r0.apk2025-08-30 22:13 1.0M 
[   ]xvile-9.8z_p1-r2.apk2025-10-07 21:03 783K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 20:07 4.2K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 20:07 16K 
[   ]xva-img-1.5-r0.apk2024-10-25 20:07 16K 
[   ]xtl-0.8.1-r0.apk2025-10-27 13:41 90K 
[   ]xtensor-0.27.0-r0.apk2025-08-26 12:09 270K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 20:07 2.6K 
[   ]xsoldier-1.8-r2.apk2024-10-25 20:07 68K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 20:07 18K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 20:07 62K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 20:07 440K 
[   ]xsane-doc-0.999-r2.apk2024-10-25 20:07 4.3K 
[   ]xsane-0.999-r2.apk2024-10-25 20:07 1.5M 
[   ]xosview-doc-1.24-r0.apk2024-10-25 20:07 12K 
[   ]xosview-1.24-r0.apk2024-10-25 20:07 112K 
[   ]xonsh-pyc-0.19.9-r0.apk2025-10-31 11:58 1.0M 
[   ]xonsh-0.19.9-r0.apk2025-10-31 11:58 589K 
[   ]xone-src-0.5.0-r0.apk2025-12-12 18:35 58K 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 20:07 15K 
[   ]xmpp-dns-0.2.4-r29.apk2025-12-04 13:54 1.9M 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 20:07 5.3K 
[   ]xmp-4.2.0-r0.apk2024-10-25 20:07 22K 
[   ]xmoto-lang-0.6.3-r0.apk2025-10-03 17:02 532K 
[   ]xmoto-doc-0.6.3-r0.apk2025-10-03 17:02 5.9K 
[   ]xmoto-data-0.6.3-r0.apk2025-10-03 17:02 37M 
[   ]xmoto-0.6.3-r0.apk2025-10-03 17:02 1.7M 
[   ]xml2rfc-pyc-3.28.1-r3.apk2025-12-18 11:02 408K 
[   ]xml2rfc-3.28.1-r3.apk2025-12-18 11:02 352K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 20:07 4.7K 
[   ]xmag-1.0.8-r0.apk2024-10-25 20:07 16K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 20:07 3.2K 
[   ]xload-1.1.4-r0.apk2024-10-25 20:07 6.2K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 20:07 2.5K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 20:07 11K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-14 21:14 2.1K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-14 21:14 19K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 20:07 2.0K 
[   ]xisxwayland-2-r1.apk2024-10-25 20:07 3.9K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 20:07 3.3K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 20:07 15K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 20:07 2.5K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 20:07 276K 
[   ]xfsdump-doc-3.2.0-r0.apk2025-08-30 20:48 42K 
[   ]xfsdump-3.2.0-r0.apk2025-08-30 20:48 387K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 20:07 4.9K 
[   ]xfd-1.1.4-r0.apk2024-10-25 20:07 13K 
[   ]xfce4-panel-profiles-lang-1.1.1-r1.apk2025-11-24 12:53 53K 
[   ]xfce4-panel-profiles-doc-1.1.1-r1.apk2025-11-24 12:53 20K 
[   ]xfce4-panel-profiles-1.1.1-r1.apk2025-11-24 12:53 58K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 20:07 59K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 20:07 2.5K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 20:07 82K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 20:07 5.1K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 20:07 31K 
[   ]xendmail-doc-0.4.4-r0.apk2025-08-06 08:50 2.5K 
[   ]xendmail-0.4.4-r0.apk2025-08-06 08:50 939K 
[   ]xed-python-3.8.4-r0.apk2025-10-23 07:55 24K 
[   ]xed-lang-3.8.4-r0.apk2025-10-23 07:55 2.1M 
[   ]xed-doc-3.8.4-r0.apk2025-10-23 07:55 971K 
[   ]xed-dev-3.8.4-r0.apk2025-10-23 07:55 14K 
[   ]xed-3.8.4-r0.apk2025-10-23 07:55 1.1M 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 20:07 70K 
[   ]xdg-native-messaging-proxy-systemd-0.1.0-r0.apk2025-11-27 14:19 1.8K 
[   ]xdg-native-messaging-proxy-0.1.0-r0.apk2025-11-27 14:19 20K 
[   ]xcompmgr-doc-1.1.10-r0.apk2025-06-28 11:24 2.6K 
[   ]xcompmgr-1.1.10-r0.apk2025-06-28 11:24 15K 
[   ]xcape-doc-1.2-r1.apk2025-05-14 21:14 3.1K 
[   ]xcape-1.2-r1.apk2025-05-14 21:14 6.6K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 12:36 17K 
[   ]xa-2.4.1-r0.apk2025-02-25 12:36 69K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 20:07 9.4K 
[   ]x11docker-7.6.0-r1.apk2024-10-25 20:07 113K 
[   ]wtfutil-0.43.0-r18.apk2025-12-04 13:54 19M 
[   ]wsmancli-doc-2.8.0-r0.apk2025-07-15 08:22 3.7K 
[   ]wsmancli-2.8.0-r0.apk2025-07-15 08:22 17K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 20:07 12K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 20:07 8.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 20:07 39K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 20:07 2.2K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 20:07 9.3K 
[   ]wolfssh-dev-1.4.17-r1.apk2025-09-21 14:09 170K 
[   ]wolfssh-1.4.17-r1.apk2025-09-21 14:09 130K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 20:07 8.2K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 20:07 5.5K 
[   ]wol-0.7.1-r3.apk2024-10-25 20:07 25K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 20:07 119K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 20:07 16K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 20:07 3.7K 
[   ]wok-3.0.0-r6.apk2024-10-25 20:07 157K 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 20:07 2.3M 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 20:07 5.1K 
[   ]wmctrl-1.07-r1.apk2024-10-25 20:07 14K 
[   ]wlroots0.18-static-0.18.3-r0.apk2025-11-28 23:54 8.0M 
[   ]wlroots0.18-dev-0.18.3-r0.apk2025-11-28 23:54 83K 
[   ]wlroots0.18-dbg-0.18.3-r0.apk2025-11-28 23:54 1.5M 
[   ]wlroots0.18-0.18.3-r0.apk2025-11-28 23:54 361K 
[   ]wlroots0.17-dev-0.17.4-r3.apk2025-08-12 21:54 77K 
[   ]wlroots0.17-dbg-0.17.4-r3.apk2025-08-12 21:54 1.5M 
[   ]wlroots0.17-0.17.4-r3.apk2025-08-12 21:54 349K 
[   ]wlr-sunclock-1.2.1-r0.apk2025-12-01 14:08 43K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 20:07 3.3K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 20:07 15K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 20:07 11K 
[   ]wl-screenrec-zsh-completion-0.1.7-r1.apk2025-08-27 16:17 3.7K 
[   ]wl-screenrec-fish-completion-0.1.7-r1.apk2025-08-27 16:17 3.2K 
[   ]wl-screenrec-doc-0.1.7-r1.apk2025-08-27 16:17 9.5K 
[   ]wl-screenrec-bash-completion-0.1.7-r1.apk2025-08-27 16:17 2.4K 
[   ]wl-screenrec-0.1.7-r1.apk2025-08-27 16:17 568K 
[   ]wl-gammarelay-0.1.3-r4.apk2025-12-04 13:54 1.6M 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 20:07 2.9K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 20:07 3.4K 
[   ]wl-clip-persist-0.5.0-r0.apk2025-10-26 12:29 958K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 20:07 2.1K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 20:07 172K 
[   ]witchery-0.0.3-r2.apk2024-10-25 20:07 3.2K 
[   ]wiringx-dev-0_git20240317-r2.apk2025-03-03 16:08 67K 
[   ]wiringx-0_git20240317-r2.apk2025-03-03 16:08 48K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 20:07 22K 
[   ]wiki-tui-doc-0.9.1-r0.apk2025-11-02 18:13 4.5K 
[   ]wiki-tui-0.9.1-r0.apk2025-11-02 18:13 2.2M 
[   ]wgcf-zsh-completion-2.2.29-r1.apk2025-12-04 13:54 4.0K 
[   ]wgcf-fish-completion-2.2.29-r1.apk2025-12-04 13:54 4.3K 
[   ]wgcf-bash-completion-2.2.29-r1.apk2025-12-04 13:54 6.1K 
[   ]wgcf-2.2.29-r1.apk2025-12-04 13:54 4.5M 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 20:17 3.1K 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 20:17 1.7K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 20:17 6.1M 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 20:17 16K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 20:17 103K 
[   ]weggli-0.2.4-r1.apk2024-10-25 20:07 826K 
[   ]webtunnel-0.0.2-r6.apk2025-12-04 13:54 3.7M 
[   ]webhookd-openrc-1.20.2-r5.apk2025-12-04 13:54 2.3K 
[   ]webhookd-doc-1.20.2-r5.apk2025-12-04 13:54 2.3K 
[   ]webhookd-1.20.2-r5.apk2025-12-04 13:54 3.3M 
[   ]wcm-0.9.0-r0.apk2025-02-27 20:17 353K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 20:07 1.6K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 20:07 2.7K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 20:07 10K 
[   ]wbg-1.3.0-r1.apk2025-11-01 13:37 39K 
[   ]wayqt-dev-0.3.0-r1.apk2025-08-21 07:47 18K 
[   ]wayqt-0.3.0-r1.apk2025-08-21 07:47 126K 
[   ]waynergy-0.0.17-r1.apk2025-09-13 01:00 45K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 20:07 302K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 20:17 526K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 20:17 3.6K 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 20:17 130K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 20:17 2.4M 
[   ]wayfarer-1.4.0-r0.apk2025-11-05 18:52 61K 
[   ]way-secure-doc-0.2.0-r0.apk2025-04-18 22:10 3.0K 
[   ]way-secure-0.2.0-r0.apk2025-04-18 22:10 208K 
[   ]way-displays-doc-1.15.0-r0.apk2025-09-30 09:47 4.5K 
[   ]way-displays-1.15.0-r0.apk2025-09-30 09:47 99K 
[   ]watershot-0.2.0-r0.apk2024-10-25 20:07 1.7M 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 20:07 14K 
[   ]watchdog-5.16-r2.apk2024-10-25 20:07 42K 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 20:07 6.6K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 20:07 1.1M 
[   ]warpinator-nemo-2.0.0-r0.apk2025-11-25 19:03 4.3K 
[   ]warpinator-lang-2.0.0-r0.apk2025-11-25 19:03 232K 
[   ]warpinator-2.0.0-r0.apk2025-11-25 19:03 220K 
[   ]warp-s3-1.3.1-r1.apk2025-12-04 13:54 8.1M 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 20:07 7.8K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 20:07 5.2K 
[   ]walk-doc-1.13.0-r8.apk2025-12-04 13:54 2.2K 
[   ]walk-1.13.0-r8.apk2025-12-04 13:54 3.0M 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 20:07 7.5K 
[   ]wakeonlan-0.42-r0.apk2024-10-25 20:07 4.5K 
[   ]waifu2x-converter-cpp-5.3.4-r9.apk2025-07-07 07:21 12M 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 21:39 13K 
[   ]wabt-1.0.37-r0.apk2025-04-06 21:39 3.8M 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 17:33 4.2K 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 17:33 142K 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 20:07 3.4M 
[   ]vym-2.9.26-r0.apk2024-10-25 20:07 2.8M 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 20:07 3.8K 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 20:07 41K 
[   ]voikko-fi-2.5-r0.apk2024-10-25 20:07 1.6M 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 20:07 8.0K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 20:07 13K 
[   ]vmlinux.h-6.18-r0.apk2025-12-16 22:34 222K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 20:07 3.1K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 20:07 367K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 20:07 151K 
[   ]vit-2.3.2-r1.apk2024-10-25 20:07 80K 
[   ]visidata-zsh-completion-3.3-r0.apk2025-09-15 13:56 9.4K 
[   ]visidata-pyc-3.3-r0.apk2025-09-15 13:56 846K 
[   ]visidata-doc-3.3-r0.apk2025-09-15 13:56 18K 
[   ]visidata-3.3-r0.apk2025-09-15 13:56 425K 
[   ]virtualgl-doc-3.1.4-r0.apk2025-10-08 23:54 315K 
[   ]virtualgl-dev-3.1.4-r0.apk2025-10-08 23:54 6.1K 
[   ]virtualgl-3.1.4-r0.apk2025-10-08 23:54 1.7M 
[   ]virter-zsh-completion-0.29.0-r5.apk2025-12-04 13:54 4.0K 
[   ]virter-fish-completion-0.29.0-r5.apk2025-12-04 13:54 4.3K 
[   ]virter-doc-0.29.0-r5.apk2025-12-04 13:54 15K 
[   ]virter-bash-completion-0.29.0-r5.apk2025-12-04 13:54 6.1K 
[   ]virter-0.29.0-r5.apk2025-12-04 13:54 5.7M 
[   ]virtctl-zsh-completion-1.6.2-r1.apk2025-12-04 13:54 4.0K 
[   ]virtctl-fish-completion-1.6.2-r1.apk2025-12-04 13:54 4.3K 
[   ]virtctl-bash-completion-1.6.2-r1.apk2025-12-04 13:54 5.1K 
[   ]virtctl-1.6.2-r1.apk2025-12-04 13:54 15M 
[   ]vimv-doc-3.1.0-r0.apk2025-10-12 21:20 2.0K 
[   ]vimv-3.1.0-r0.apk2025-10-12 21:20 261K 
[   ]vim-rust-305-r1.apk2025-08-21 07:55 20K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:00 67K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 20:07 12K 
[   ]vim-airline-0.11-r0.apk2024-10-25 20:07 86K 
[   ]vile-doc-9.8z_p1-r2.apk2025-10-07 21:03 357K 
[   ]vile-common-9.8z_p1-r2.apk2025-10-07 21:03 354K 
[   ]vile-9.8z_p1-r2.apk2025-10-07 21:03 758K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 20:07 85K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 20:07 2.1K 
[   ]viewnior-1.8-r1.apk2024-10-25 20:07 70K 
[   ]video-trimmer-lang-25.03-r0.apk2025-06-30 13:46 93K 
[   ]video-trimmer-25.03-r0.apk2025-06-30 13:46 397K 
[   ]vice-doc-3.9-r2.apk2025-09-27 13:41 2.2M 
[   ]vice-3.9-r2.apk2025-09-27 13:41 13M 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 20:07 25K 
[   ]vertigo-doc-0.3.4-r1.apk2025-12-04 13:54 1.8K 
[   ]vertigo-0.3.4-r1.apk2025-12-04 13:54 1.6M 
[   ]vera++-1.3.0-r11.apk2025-10-12 14:25 185K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 20:07 2.3K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 20:07 281K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 20:07 1.7K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 20:07 1.6K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 20:07 58K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 20:07 1.8K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 20:07 35K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 20:07 2.9K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 20:07 27K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 20:07 2.9K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 20:07 8.8K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-25 07:04 74K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-25 07:04 118K 
[   ]vcdimager-2.0.1-r5.apk2025-01-25 07:04 481K 
[   ]varnish-modules-doc-0.26.0-r0.apk2025-07-06 18:24 22K 
[   ]varnish-modules-0.26.0-r0.apk2025-07-06 18:24 42K 
[   ]vals-0.42.4-r1.apk2025-12-04 13:54 36M 
[   ]vali-dev-0.1.0-r1.apk2025-11-30 11:57 4.3K 
[   ]vali-0.1.0-r1.apk2025-11-30 11:57 27K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 20:07 4.2K 
[   ]uxn-1.0-r0.apk2024-10-25 20:07 42K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 20:07 118K 
[   ]uucp-1.07-r6.apk2024-10-25 20:07 468K 
[   ]utop-full-2.9.1-r4.apk2024-10-25 20:07 13M 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 20:07 13K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 20:07 5.7K 
[   ]utop-common-2.9.1-r4.apk2024-10-25 20:07 2.0K 
[   ]utop-2.9.1-r4.apk2024-10-25 20:07 13M 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 20:07 132K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 20:07 97K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 20:07 91K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 20:07 65K 
[   ]ustr-1.0.4-r1.apk2024-10-25 20:07 48K 
[   ]usql-0.19.26-r1.apk2025-12-04 13:54 42M 
[   ]usbtop-1.0-r0.apk2025-04-12 12:26 13K 
[   ]usbmuxd-udev-1.1.1_git20250201-r9.apk2025-08-24 08:03 2.1K 
[   ]usbmuxd-doc-1.1.1_git20250201-r9.apk2025-08-24 08:03 3.0K 
[   ]usbmuxd-1.1.1_git20250201-r9.apk2025-08-24 08:03 36K 
[   ]usb-moded-notify-systemd-0.2-r0.apk2025-12-19 20:06 1.8K 
[   ]usb-moded-notify-0.2-r0.apk2025-12-19 20:06 3.0K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 20:07 101K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 20:07 33K 
[   ]urlwatch-2.28-r2.apk2024-10-25 20:07 49K 
[   ]upterm-zsh-completion-0.17.0-r1.apk2025-12-04 13:54 4.0K 
[   ]upterm-server-openrc-0.17.0-r1.apk2025-12-04 13:54 2.0K 
[   ]upterm-server-0.17.0-r1.apk2025-12-04 13:54 6.5M 
[   ]upterm-doc-0.17.0-r1.apk2025-12-04 13:54 7.0K 
[   ]upterm-bash-completion-0.17.0-r1.apk2025-12-04 13:54 5.6K 
[   ]upterm-0.17.0-r1.apk2025-12-04 13:54 6.9M 
[   ]update-sysfs-2.1.1_p7-r0.apk2025-11-12 10:44 3.1K 
[   ]up-0.4-r34.apk2025-12-04 13:54 1.2M 
[   ]unit-php82-1.35.0-r0.apk2025-10-24 02:07 31K 
[   ]unit-php81-1.35.0-r1.apk2025-09-15 15:02 31K 
[   ]unicorn-dev-2.1.4-r0.apk2025-10-14 14:04 8.0M 
[   ]unicorn-2.1.4-r0.apk2025-10-14 14:04 6.9M 
[   ]undock-0.10.0-r5.apk2025-12-04 13:54 9.9M 
[   ]ufw-docker-doc-250710-r0.apk2025-09-14 06:51 14K 
[   ]ufw-docker-250710-r0.apk2025-09-14 06:51 7.4K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 11:09 64K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 11:09 64K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 20:07 1.8K 
[   ]udpt-3.1.2-r0.apk2024-10-25 20:07 717K 
[   ]uclient-fetch-20241022-r0.apk2025-05-29 22:00 11K 
[   ]uclient-dev-20241022-r0.apk2025-05-29 22:00 3.5K 
[   ]uclient-20241022-r0.apk2025-05-29 22:00 16K 
[   ]ubus-dev-2025.10.17-r0.apk2025-10-25 12:57 5.6K 
[   ]ubus-2025.10.17-r0.apk2025-10-25 12:57 30K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 20:07 21K 
[   ]ubase-20200605-r3.apk2024-10-25 20:07 46K 
[   ]uasm-2.56.2-r0.apk2024-10-25 20:07 287K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 20:07 93K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 13:45 529K 
[   ]typobuster-1.0.0-r0.apk2025-04-23 22:22 129K 
[   ]ty-zsh-completion-0.0.1_alpha19-r0.apk2025-09-01 00:25 3.4K 
[   ]ty-pyc-0.0.1_alpha19-r0.apk2025-09-01 00:25 3.9K 
[   ]ty-fish-completion-0.0.1_alpha19-r0.apk2025-09-01 00:25 3.1K 
[   ]ty-bash-completion-0.0.1_alpha19-r0.apk2025-09-01 00:25 2.5K 
[   ]ty-0.0.1_alpha19-r0.apk2025-09-01 00:25 5.8M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:55 3.5K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:55 2.4M 
[   ]twiggy-0.6.0-r3.apk2024-10-25 20:07 785K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 20:07 17K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 20:07 61K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 13:01 12K 
[   ]turntable-0.3.3-r0.apk2025-05-27 13:01 175K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 20:07 1.8K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 20:07 5.7K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 20:07 38K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-12 12:08 2.0K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-12 12:08 11K 
[   ]turn-rs-3.4.0-r1.apk2025-06-12 12:08 594K 
[   ]tuptime-openrc-5.2.4-r2.apk2025-09-05 06:56 1.7K 
[   ]tuptime-doc-5.2.4-r2.apk2025-09-05 06:56 3.8K 
[   ]tuptime-5.2.4-r2.apk2025-09-05 06:56 14K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 08:34 2.5K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 08:34 21K 
[   ]tup-0.7.11-r1.apk2025-06-19 08:34 230K 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 20:07 6.9K 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 20:07 1.9M 
[   ]tuckr-0.12.0-r0.apk2025-11-30 10:11 515K 
[   ]ttyper-1.6.0-r0.apk2025-02-05 21:45 610K 
[   ]ttynvt-0.17-r0.apk2025-08-22 12:50 15K 
[   ]tty-share-2.4.0-r23.apk2025-12-04 13:54 3.7M 
[   ]tty-proxy-0.0.2-r33.apk2025-12-04 13:54 2.6M 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 20:07 3.1K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 20:07 8.4K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 20:07 104K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 20:07 55K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 20:07 8.1K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 20:07 152K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 20:07 26K 
[   ]tsung-1.8.0-r3.apk2025-06-13 05:36 722K 
[   ]trivy-0.68.2-r0.apk2025-12-19 11:18 65M 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-18 23:21 4.8K 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-18 23:21 3.2K 
[   ]trippy-0.13.0-r0.apk2025-05-18 23:21 2.2M 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 15:01 28K 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 15:01 352M 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 15:01 293K 
[   ]tremc-zsh-completion-0.9.4-r0.apk2025-07-16 08:09 1.8K 
[   ]tremc-doc-0.9.4-r0.apk2025-07-16 08:09 2.8K 
[   ]tremc-bash-completion-0.9.4-r0.apk2025-07-16 08:09 1.9K 
[   ]tremc-0.9.4-r0.apk2025-07-16 08:09 53K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 20:07 2.3K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 20:07 83K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 20:07 41K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 20:07 13K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 00:17 22K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 15:53 284K 
[   ]tree-sitter-hare-0_git20230616-r2.apk2025-07-24 01:27 35K 
[   ]tree-sitter-gleam-1.1.0-r0.apk2025-10-08 23:22 66K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-10 22:54 5.0K 
[   ]tree-sitter-git-diff-0_git20230730-r1.apk2025-07-25 12:56 9.7K 
[   ]tree-sitter-git-commit-0_git20211225-r4.apk2025-07-25 12:56 14K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-10 22:54 99K 
[   ]tree-sitter-clojure-0.0.13-r0.apk2025-07-24 01:27 23K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 20:07 2.3K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 20:07 84K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 20:07 25K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 20:07 5.2K 
[   ]tre-0.8.0-r2.apk2024-10-25 20:07 24K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 20:07 2.6K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 20:07 34K 
[   ]trantor-1.5.18-r0.apk2024-10-25 20:07 211K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 20:07 106K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 20:07 4.2K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 20:07 138K 
[   ]transito-doc-0.9.1-r13.apk2025-12-04 13:54 755K 
[   ]transito-0.9.1-r13.apk2025-12-04 13:54 8.1M 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-25 07:04 171K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-25 07:04 509K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-25 07:04 3.3K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-25 07:04 164K 
[   ]tqm-1.18.0-r0.apk2025-12-13 17:47 4.6M 
[   ]tpm2-pkcs11-pyc-1.9.2-r0.apk2025-12-13 14:54 70K 
[   ]tpm2-pkcs11-dev-1.9.2-r0.apk2025-12-13 14:54 1.9K 
[   ]tpm2-pkcs11-1.9.2-r0.apk2025-12-13 14:54 132K 
[   ]toybox-0.8.13-r0.apk2025-10-16 10:30 262K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 09:33 14K 
[   ]toss-1.1-r1.apk2025-05-29 11:57 11K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 20:07 360K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 20:07 73K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 20:07 4.0K 
[   ]topgit-0.19.13-r1.apk2024-10-25 20:07 127K 
[   ]toml2json-doc-1.3.2-r0.apk2025-09-01 13:13 3.7K 
[   ]toml2json-1.3.2-r0.apk2025-09-01 13:13 361K 
[   ]tofutf-server-openrc-0.10.0-r10.apk2025-12-04 13:54 2.0K 
[   ]tofutf-server-0.10.0-r10.apk2025-12-04 13:54 13M 
[   ]tofutf-cli-0.10.0-r10.apk2025-12-04 13:54 8.3M 
[   ]tofutf-agent-openrc-0.10.0-r10.apk2025-12-04 13:54 2.0K 
[   ]tofutf-agent-0.10.0-r10.apk2025-12-04 13:54 8.9M 
[   ]tofutf-0.10.0-r10.apk2025-12-04 13:54 1.3K 
[   ]tofu-ls-doc-0.2.0-r1.apk2025-12-04 13:54 35K 
[   ]tofu-ls-0.2.0-r1.apk2025-12-04 13:54 5.8M 
[   ]today-doc-6.2.1-r0.apk2025-06-17 15:29 3.3K 
[   ]today-6.2.1-r0.apk2025-06-17 15:29 3.2K 
[   ]toapk-1.0-r0.apk2024-10-25 20:07 10K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 20:07 4.2K 
[   ]tnef-1.4.18-r0.apk2024-10-25 20:07 25K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 20:07 3.9K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 20:07 22K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 20:07 8.4K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 20:07 14K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 20:07 3.2K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 20:07 7.0K 
[   ]tmpl-doc-0.4.0-r16.apk2025-12-04 13:54 2.3K 
[   ]tmpl-0.4.0-r16.apk2025-12-04 13:54 2.7M 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 20:07 72K 
[   ]tmate-2.4.0-r4.apk2024-10-25 20:07 236K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.5K 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 20:07 52K 
[   ]tinygltf-dev-2.9.7-r0.apk2025-11-02 20:50 57K 
[   ]tinygltf-2.9.7-r0.apk2025-11-02 20:50 137K 
[   ]tinyemu-2019.12.21-r0.apk2025-05-25 23:35 108K 
[   ]tintin-2.02.51-r0.apk2025-11-24 12:41 1.8M 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 20:07 22K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 20:07 262K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 20:07 53K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 20:07 2.8K 
[   ]timew-1.4.3-r1.apk2024-10-25 20:07 248K 
[   ]timeshift-lang-25.12.1-r0.apk2025-12-12 18:17 1.0M 
[   ]timeshift-doc-25.12.1-r0.apk2025-12-12 18:17 3.2K 
[   ]timeshift-25.12.1-r0.apk2025-12-12 18:17 467K 
[   ]ticker-zsh-completion-5.0.7-r7.apk2025-12-04 13:54 4.1K 
[   ]ticker-fish-completion-5.0.7-r7.apk2025-12-04 13:54 4.3K 
[   ]ticker-bash-completion-5.0.7-r7.apk2025-12-04 13:54 6.1K 
[   ]ticker-5.0.7-r7.apk2025-12-04 13:54 3.5M 
[   ]tick-doc-1.2.3-r0.apk2025-10-07 21:03 5.5K 
[   ]tick-1.2.3-r0.apk2025-10-07 21:03 11K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 20:07 25K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 20:07 9.4K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 20:07 22K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 20:07 11K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:22 28K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:22 1.6K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:22 15K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 20:07 2.3K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 20:07 39K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 20:07 2.0K 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 20:07 2.3K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 20:07 28M 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 20:07 156K 
[   ]thefuck-3.32-r5.apk2024-10-25 20:07 83K 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 20:07 6.3M 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 20:07 6.8M 
[   ]tfupdate-doc-0.8.2-r11.apk2025-12-04 13:54 2.3K 
[   ]tfupdate-0.8.2-r11.apk2025-12-04 13:54 4.8M 
[   ]texlab-5.23.1-r0.apk2025-08-22 07:32 8.7M 
[   ]termusic-mpv-0.12.0-r0.apk2025-10-13 01:37 9.9M 
[   ]terminology-lang-1.14.0-r0.apk2025-05-27 22:49 143K 
[   ]terminology-doc-1.14.0-r0.apk2025-05-27 22:49 9.0K 
[   ]terminology-1.14.0-r0.apk2025-05-27 22:49 2.7M 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 20:07 6.8K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 20:07 1.5K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 20:07 12K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 20:07 5.7K 
[   ]termbox-1.1.2-r1.apk2024-10-25 20:07 11K 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 20:07 14K 
[   ]tere-1.6.0-r0.apk2024-10-25 20:07 1.0M 
[   ]tenv-zsh-completion-4.9.0-r1.apk2025-12-04 13:54 4.0K 
[   ]tenv-fish-completion-4.9.0-r1.apk2025-12-04 13:54 4.3K 
[   ]tenv-bash-completion-4.9.0-r1.apk2025-12-04 13:54 6.1K 
[   ]tenv-4.9.0-r1.apk2025-12-04 13:54 9.5M 
[   ]templ-0.3.960-r1.apk2025-12-04 13:54 5.2M 
[   ]telegram-tdlib-static-1.8.51-r0.apk2025-08-02 10:26 20M 
[   ]telegram-tdlib-dev-1.8.51-r0.apk2025-08-02 10:26 189K 
[   ]telegram-tdlib-1.8.51-r0.apk2025-08-02 10:26 7.1M 
[   ]telegram-bot-api-9.1-r0.apk2025-08-02 10:32 7.1M 
[   ]tealdeer-zsh-completion-1.8.0-r0.apk2025-10-27 22:26 2.4K 
[   ]tealdeer-fish-completion-1.8.0-r0.apk2025-10-27 22:26 2.3K 
[   ]tealdeer-bash-completion-1.8.0-r0.apk2025-10-27 22:26 2.0K 
[   ]tealdeer-1.8.0-r0.apk2025-10-27 22:26 824K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 20:07 8.9K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 20:07 12K 
[   ]tcpbench-doc-3.00-r1.apk2025-09-13 01:00 5.3K 
[   ]tcpbench-3.00-r1.apk2025-09-13 01:00 14K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 20:07 38K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 20:07 29K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 07:58 6.1K 
[   ]tayga-0.9.5-r0.apk2025-06-20 07:58 26K 
[   ]taskwarrior-tui-fish-completion-0.26.3-r0.apk2025-03-26 10:25 1.7K 
[   ]taskwarrior-tui-doc-0.26.3-r0.apk2025-03-26 10:25 3.9K 
[   ]taskwarrior-tui-bash-completion-0.26.3-r0.apk2025-03-26 10:25 2.0K 
[   ]taskwarrior-tui-0.26.3-r0.apk2025-03-26 10:25 1.2M 
[   ]taskcafe-openrc-0.3.6-r18.apk2025-12-04 13:54 1.8K 
[   ]taskcafe-0.3.6-r18.apk2025-12-04 13:54 14M 
[   ]tartube-pyc-2.5.0-r2.apk2025-12-10 10:25 1.1M 
[   ]tartube-2.5.0-r2.apk2025-12-10 10:25 2.7M 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 11:52 12K 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 11:52 22K 
[   ]tangctl-0_git20241007-r9.apk2025-12-04 13:54 2.6M 
[   ]tang-openrc-15-r0.apk2025-01-25 07:04 1.9K 
[   ]tang-doc-15-r0.apk2025-01-25 07:04 21K 
[   ]tang-dbg-15-r0.apk2025-01-25 07:04 32K 
[   ]tang-15-r0.apk2025-01-25 07:04 15K 
[   ]tailspin-zsh-completion-5.5.0-r0.apk2025-10-28 06:57 2.5K 
[   ]tailspin-fish-completion-5.5.0-r0.apk2025-10-28 06:57 2.1K 
[   ]tailspin-doc-5.5.0-r0.apk2025-10-28 06:57 3.0K 
[   ]tailspin-bash-completion-5.5.0-r0.apk2025-10-28 06:57 2.2K 
[   ]tailspin-5.5.0-r0.apk2025-10-28 06:57 1.2M 
[   ]tachyon-scenes-0.99_beta6-r2.apk2025-12-10 14:13 1.9M 
[   ]tachyon-0.99_beta6-r2.apk2025-12-10 14:13 89K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 20:07 8.4K 
[   ]syncwhen-systemd-0.3-r0.apk2025-11-01 17:26 1.8K 
[   ]syncwhen-0.3-r0.apk2025-11-01 17:26 5.3K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 20:07 221K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 20:07 2.2K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 20:07 440K 
[   ]synapse-bt-openrc-1.0-r4.apk2024-10-25 20:07 1.8K 
[   ]synapse-bt-cli-1.0-r4.apk2024-10-25 20:07 1.0M 
[   ]synapse-bt-1.0-r4.apk2024-10-25 20:07 1.1M 
[   ]sympow-doc-2.023.7-r2.apk2025-05-02 14:16 3.1K 
[   ]sympow-2.023.7-r2.apk2025-05-02 14:16 1.8M 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-22 21:28 3.9K 
[   ]symlinks-1.4.3-r0.apk2025-04-22 21:28 6.0K 
[   ]symengine-0.12.0-r0.apk2024-10-25 20:07 3.5M 
[   ]symbiyosys-0.36-r0.apk2024-10-25 20:07 38K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 20:07 7.8K 
[   ]sydbox-vim-3.45.2-r0.apk2025-12-03 02:48 7.2K 
[   ]sydbox-utils-3.45.2-r0.apk2025-12-03 02:48 6.5M 
[   ]sydbox-test-3.45.2-r0.apk2025-12-03 02:48 1.9M 
[   ]sydbox-syd-3.45.2-r0.apk2025-12-03 02:48 2.1M 
[   ]sydbox-oci-3.45.2-r0.apk2025-12-03 02:48 3.0M 
[   ]sydbox-doc-3.45.2-r0.apk2025-12-03 02:48 165K 
[   ]sydbox-3.45.2-r0.apk2025-12-03 02:48 5.0M 
[   ]syd-tui-0.2.2-r0.apk2025-12-07 22:33 417K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 20:07 2.6K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 20:07 8.6K 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 10:08 1.0M 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 10:08 922K 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 10:08 22K 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 10:08 2.1M 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 10:08 4.6M 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 20:07 6.2K 
[   ]swhkd-1.2.1-r0.apk2024-10-25 20:07 1.1M 
[   ]swayhide-0.2.1-r2.apk2024-10-25 20:07 259K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 20:07 9.4K 
[   ]swappy-lang-1.7.1-r0.apk2025-08-21 23:29 3.8K 
[   ]swappy-doc-1.7.1-r0.apk2025-08-21 23:29 3.8K 
[   ]swappy-1.7.1-r0.apk2025-08-21 23:29 27K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 20:07 50K 
[   ]swaks-20240103.0-r0.apk2024-10-25 20:07 66K 
[   ]svls-doc-0.2.14-r0.apk2025-12-13 03:01 2.2K 
[   ]svls-0.2.14-r0.apk2025-12-13 03:01 3.5M 
[   ]svgbob-0.7.6-r0.apk2025-02-01 19:55 472K 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-14 21:14 2.9M 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 20:07 18K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 20:07 79K 
[   ]surf-doc-2.1-r3.apk2024-10-25 20:07 4.6K 
[   ]surf-2.1-r3.apk2024-10-25 20:07 21K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 20:07 9.4K 
[   ]supermin-5.2.2-r2.apk2024-10-25 20:07 505K 
[   ]supercollider-dev-3.13.0-r6.apk2025-02-08 23:43 39K 
[   ]supercollider-3.13.0-r6.apk2025-02-08 23:43 7.4M 
[   ]sudo-ldap-1.9.17_p1-r0.apk2025-07-01 18:57 862K 
[   ]subliminal-pyc-2.4.0-r0.apk2025-11-23 23:18 179K 
[   ]subliminal-2.4.0-r0.apk2025-11-23 23:18 90K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 20:07 302K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 20:07 190K 
[   ]stw-doc-0.3-r0.apk2024-10-25 20:07 2.5K 
[   ]stw-0.3-r0.apk2024-10-25 20:07 7.3K 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 20:07 31M 
[   ]stockfish-17-r0.apk2025-03-03 22:42 62M 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 20:07 2.0K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 20:07 18K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 20:07 63K 
[   ]stern-zsh-completion-1.33.0-r1.apk2025-12-04 13:54 4.0K 
[   ]stern-fish-completion-1.33.0-r1.apk2025-12-04 13:54 4.3K 
[   ]stern-bash-completion-1.33.0-r1.apk2025-12-04 13:54 5.8K 
[   ]stern-1.33.0-r1.apk2025-12-04 13:54 18M 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 20:07 14K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 20:07 135K 
[   ]steamguard-cli-zsh-completion-0.17.1-r0.apk2025-07-15 08:22 4.2K 
[   ]steamguard-cli-bash-completion-0.17.1-r0.apk2025-07-15 08:22 2.9K 
[   ]steamguard-cli-0.17.1-r0.apk2025-07-15 08:22 3.1M 
[   ]stayrtr-openrc-0.6.3-r1.apk2025-12-04 13:54 2.0K 
[   ]stayrtr-0.6.3-r1.apk2025-12-04 13:54 11M 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 20:07 13K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 20:07 17K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 20:07 5.4K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 20:07 48K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 20:07 5.8K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 20:07 37K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 20:07 38K 
[   ]startup-2.0.3-r5.apk2024-10-25 20:07 520K 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 20:07 22K 
[   ]starfighter-2.4-r0.apk2024-10-25 20:07 48M 
[   ]stam-0.12.2-r0.apk2025-09-22 12:10 1.7M 
[   ]stalwart-mail-openrc-0.14.1-r0.apk2025-11-28 20:01 2.1K 
[   ]stalwart-mail-0.14.1-r0.apk2025-11-28 20:01 16M 
[   ]stalwart-cli-0.14.1-r0.apk2025-11-28 20:01 2.0M 
[   ]sstp-client-doc-1.0.20-r3.apk2025-10-24 02:29 4.9K 
[   ]sstp-client-dev-1.0.20-r3.apk2025-10-24 02:29 5.2K 
[   ]sstp-client-1.0.20-r3.apk2025-10-24 02:29 37K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 20:07 3.3K 
[   ]ssss-0.5.7-r0.apk2024-10-25 20:07 12K 
[   ]sssd-openrc-2.11.1-r2.apk2025-11-20 22:15 1.7K 
[   ]sssd-dev-2.11.1-r2.apk2025-11-20 22:15 15K 
[   ]sssd-2.11.1-r2.apk2025-11-20 22:15 2.1M 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 20:07 101K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 20:07 8.5K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 20:07 62K 
[   ]sshsrv-1.0-r17.apk2025-12-04 13:54 1.0M 
[   ]sshs-4.7.2-r0.apk2025-04-23 22:22 737K 
[   ]sshm-doc-1.9.0-r1.apk2025-12-04 13:54 2.2K 
[   ]sshm-1.9.0-r1.apk2025-12-04 13:54 3.9M 
[   ]ssh-tools-1.8-r0.apk2024-10-25 20:07 26K 
[   ]ssh-studio-pyc-1.3.1-r0.apk2025-10-12 20:35 107K 
[   ]ssh-studio-lang-1.3.1-r0.apk2025-10-12 20:35 1.9K 
[   ]ssh-studio-1.3.1-r0.apk2025-10-12 20:35 202K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 20:07 2.1K 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 20:07 8.3K 
[   ]ssh-get-id-doc-0.2.0-r0.apk2025-12-15 03:11 2.3K 
[   ]ssh-get-id-0.2.0-r0.apk2025-12-15 03:11 2.4M 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 20:07 18K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 20:07 109K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 20:07 24K 
[   ]sregex-0.0.1-r1.apk2024-10-25 20:07 19K 
[   ]srain-lang-1.8.1-r0.apk2025-06-26 18:35 35K 
[   ]srain-1.8.1-r0.apk2025-06-26 18:35 152K 
[   ]squeak-vm-doc-4.10.2.2614-r2.apk2025-10-09 12:30 12K 
[   ]squeak-vm-4.10.2.2614-r2.apk2025-10-09 12:30 536K 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-13 20:35 8.6K 
[   ]sqruff-0.25.26-r0.apk2025-04-13 20:35 2.1M 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 20:07 20K 
[   ]sqlmap-pyc-1.9.12-r0.apk2025-12-12 20:05 1.2M 
[   ]sqlmap-1.9.12-r0.apk2025-12-12 20:05 6.7M 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 20:07 89K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 20:07 3.3K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 20:07 15K 
[   ]spvm-thread-doc-0.003-r1.apk2025-06-30 07:14 6.0K 
[   ]spvm-thread-0.003-r1.apk2025-06-30 07:14 11K 
[   ]spvm-mime-base64-doc-1.003-r1.apk2025-06-30 07:14 5.5K 
[   ]spvm-mime-base64-1.003-r1.apk2025-06-30 07:14 13K 
[   ]spvm-math-doc-1.006-r1.apk2025-06-30 07:14 6.9K 
[   ]spvm-math-1.006-r1.apk2025-06-30 07:14 18K 
[   ]spvm-errno-doc-0.093-r1.apk2025-06-30 07:14 6.0K 
[   ]spvm-errno-0.093-r1.apk2025-06-30 07:14 15K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-14 21:14 34K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 20:07 4.6K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 20:07 341K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 20:07 194K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 20:07 42K 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 20:07 1.9M 
[   ]spnavcfg-1.1-r0.apk2024-10-25 20:07 35K 
[   ]spike-1.1.0-r0.apk2024-10-25 20:07 1.0M 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 20:07 438K 
[   ]speedtest_exporter-openrc-0.3.2-r20.apk2025-12-04 13:54 1.9K 
[   ]speedtest_exporter-0.3.2-r20.apk2025-12-04 13:54 3.9M 
[   ]speedtest-go-openrc-1.1.5-r20.apk2025-12-04 13:54 1.7K 
[   ]speedtest-go-doc-1.1.5-r20.apk2025-12-04 13:54 4.5K 
[   ]speedtest-go-1.1.5-r20.apk2025-12-04 13:54 5.4M 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 20:07 13K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 20:07 18K 
[   ]speedtest-5.2.5-r1.apk2024-10-25 20:07 253K 
[   ]speedcrunch-0.12-r3.apk2024-10-25 20:07 1.1M 
[   ]spark-2.8.3-r1.apk2024-10-25 20:07 29M 
[   ]spacectl-zsh-completion-1.12.0-r6.apk2025-12-04 13:54 1.8K 
[   ]spacectl-fish-completion-1.12.0-r6.apk2025-12-04 13:54 7.1K 
[   ]spacectl-doc-1.12.0-r6.apk2025-12-04 13:54 2.3K 
[   ]spacectl-bash-completion-1.12.0-r6.apk2025-12-04 13:54 2.1K 
[   ]spacectl-1.12.0-r6.apk2025-12-04 13:54 5.7M 
[   ]soundconverter-pyc-4.1.1-r0.apk2025-07-29 13:12 77K 
[   ]soundconverter-lang-4.1.1-r0.apk2025-07-29 13:12 107K 
[   ]soundconverter-doc-4.1.1-r0.apk2025-07-29 13:12 4.7K 
[   ]soundconverter-4.1.1-r0.apk2025-07-29 13:12 165K 
[   ]sos-0.8-r36.apk2025-12-04 13:54 2.8M 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 20:07 15K 
[   ]sopwith-2.5.0-r0.apk2024-10-25 20:07 48K 
[   ]sonicradio-0.8.4-r2.apk2025-12-04 13:54 3.2M 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 20:07 2.4K 
[   ]somebar-1.0.3-r0.apk2024-10-25 20:07 34K 
[   ]solarus-engine-doc-1.7.0-r2.apk2025-07-24 01:27 3.3K 
[   ]solarus-engine-1.7.0-r2.apk2025-07-24 01:27 1.9M 
[   ]solanum-lang-6.0.0-r0.apk2025-04-10 12:58 47K 
[   ]solanum-6.0.0-r0.apk2025-04-10 12:58 263K 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 01:11 49K 
[   ]so-0.4.10-r0.apk2024-10-25 20:07 2.1M 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 20:07 3.0K 
[   ]snore-0.3.1-r0.apk2024-10-25 20:07 4.9K 
[   ]snippets-ls-0.0.4_git20240617-r10.apk2025-12-04 13:54 1.3M 
[   ]snapraid-doc-13.0-r0.apk2025-10-31 21:40 19K 
[   ]snapraid-13.0-r0.apk2025-10-31 21:40 287K 
[   ]snapper-zsh-completion-0.13.0-r0.apk2025-09-16 18:45 3.6K 
[   ]snapper-lang-0.13.0-r0.apk2025-09-16 18:45 219K 
[   ]snapper-doc-0.13.0-r0.apk2025-09-16 18:45 25K 
[   ]snapper-dev-0.13.0-r0.apk2025-09-16 18:45 11K 
[   ]snapper-bash-completion-0.13.0-r0.apk2025-09-16 18:45 3.4K 
[   ]snapper-0.13.0-r0.apk2025-09-16 18:45 926K 
[   ]smplxmpp-doc-0.9.3-r5.apk2025-10-12 11:34 25K 
[   ]smplxmpp-0.9.3-r5.apk2025-10-12 11:34 141K 
[   ]smile-lang-2.10.2-r0.apk2025-09-09 21:19 28K 
[   ]smile-2.10.2-r0.apk2025-09-09 21:19 863K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:56 70K 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:56 72K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 20:07 2.3K 
[   ]slurm-0.4.4-r0.apk2024-10-25 20:07 15K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 20:07 59K 
[   ]sloccount-2.26-r3.apk2024-10-25 20:07 59K 
[   ]sish-openrc-2.16.1-r10.apk2025-12-04 13:54 1.9K 
[   ]sish-2.16.1-r10.apk2025-12-04 13:54 7.9M 
[   ]siril-lang-1.2.6-r5.apk2025-09-27 21:09 1.6M 
[   ]siril-doc-1.2.6-r5.apk2025-09-27 21:09 18K 
[   ]siril-1.2.6-r5.apk2025-09-27 21:09 2.7M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 20:07 26K 
[   ]sipexer-1.2.0-r8.apk2025-12-04 13:54 2.8M 
[   ]singular-static-4.4.1_p2-r1.apk2025-11-26 08:23 5.3M 
[   ]singular-emacs-4.4.1_p2-r1.apk2025-11-26 08:23 102K 
[   ]singular-doc-4.4.1_p2-r1.apk2025-11-26 08:23 1.3M 
[   ]singular-dev-4.4.1_p2-r1.apk2025-11-26 08:23 359K 
[   ]singular-4.4.1_p2-r1.apk2025-11-26 08:23 10M 
[   ]simpleble-dev-0.10.3-r0.apk2025-07-15 08:22 28K 
[   ]simpleble-0.10.3-r0.apk2025-07-15 08:22 1.2K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-13 12:27 540K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-13 12:27 529K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-13 12:27 546K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-13 12:27 534K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-13 12:27 527K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-13 12:27 520K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-13 12:27 541K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-13 12:27 530K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-13 12:27 542K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-13 12:27 531K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-13 12:27 535K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-13 12:27 524K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-13 12:27 550K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-13 12:27 538K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-13 12:27 545K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-13 12:27 551K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-13 12:27 538K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-13 12:27 533K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-13 12:27 525K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-13 12:27 518K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-13 12:27 549K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-13 12:27 536K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-13 12:27 451K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-13 12:27 450K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-13 12:27 492K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-13 12:27 487K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-13 12:27 449K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-13 12:27 527K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-13 12:27 517K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-13 12:27 537K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-13 12:27 525K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-13 12:27 14K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-13 12:27 490K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-13 12:27 484K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-13 12:27 540K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-13 12:27 530K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-13 12:27 544K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-13 12:27 533K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-13 12:27 537K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-13 12:27 528K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-13 12:27 547K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-13 12:27 535K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-13 12:27 509K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-13 12:27 526K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-13 12:27 520K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-13 12:27 504K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-13 12:27 483K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-13 12:27 485K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-13 12:27 482K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-13 12:27 478K 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-13 12:27 447K 
[   ]simh-3.11.1-r1.apk2024-10-25 20:07 2.8M 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 00:14 404K 
[   ]simgear-2024.1.1-r0.apk2025-03-05 00:14 2.1M 
[   ]silc-client-doc-1.1.11-r18.apk2025-06-30 07:14 82K 
[   ]silc-client-1.1.11-r18.apk2025-06-30 07:14 798K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 20:07 13K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 20:07 8.0K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 20:07 38K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 20:07 340K 
[   ]sigma-0.23.1-r1.apk2024-10-25 20:07 237K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 20:07 1.8K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-25 10:17 10K 
[   ]shntool-3.0.10-r5.apk2025-02-25 10:17 58K 
[   ]shipments-0.3.0-r0.apk2024-10-25 20:07 23K 
[   ]shine-3.1.1-r0.apk2024-10-25 20:07 55K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 20:07 3.5K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 20:07 19K 
[   ]shellinabox-2.21-r3.apk2024-10-25 20:07 121K 
[   ]shc-4.0.3-r2.apk2024-10-25 20:07 19K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 20:07 2.7M 
[   ]sfwbar-doc-1.0_beta161-r0.apk2025-07-31 13:29 27K 
[   ]sfwbar-1.0_beta161-r0.apk2025-07-31 13:29 265K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 20:07 9.3K 
[   ]sflowtool-6.02-r0.apk2024-10-25 20:07 44K 
[   ]sfizz-doc-1.2.3-r1.apk2025-10-02 13:20 3.0K 
[   ]sfizz-dev-1.2.3-r1.apk2025-10-02 13:20 15K 
[   ]sfizz-1.2.3-r1.apk2025-10-02 13:20 1.6M 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 20:07 4.4K 
[   ]setroot-2.0.2-r1.apk2024-10-25 20:07 11K 
[   ]serie-doc-0.5.5-r0.apk2025-12-10 10:25 8.2K 
[   ]serie-0.5.5-r0.apk2025-12-10 10:25 876K 
[   ]serialdv-libs-1.1.5-r0.apk2025-10-24 20:26 60K 
[   ]serialdv-dev-1.1.5-r0.apk2025-10-24 20:26 5.3K 
[   ]serialdv-1.1.5-r0.apk2025-10-24 20:26 6.4K 
[   ]sentinel-proxy-openrc-2.1.0-r1.apk2025-06-13 14:20 2.2K 
[   ]sentinel-proxy-dev-2.1.0-r1.apk2025-06-13 14:20 4.4K 
[   ]sentinel-proxy-2.1.0-r1.apk2025-06-13 14:20 39K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 20:07 2.6K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 20:07 43K 
[   ]semaphoreui-zsh-completion-2.16.37-r1.apk2025-12-04 13:54 4.0K 
[   ]semaphoreui-openrc-2.16.37-r1.apk2025-12-04 13:54 2.1K 
[   ]semaphoreui-fish-completion-2.16.37-r1.apk2025-12-04 13:54 4.3K 
[   ]semaphoreui-doc-2.16.37-r1.apk2025-12-04 13:54 2.3K 
[   ]semaphoreui-bash-completion-2.16.37-r1.apk2025-12-04 13:54 6.1K 
[   ]semaphoreui-2.16.37-r1.apk2025-12-04 13:54 16M 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 20:07 3.1K 
[   ]sedutil-1.15.1-r1.apk2024-10-25 20:07 186K 
[   ]secsipidx-libs-1.3.2-r17.apk2025-12-04 13:54 2.5M 
[   ]secsipidx-dev-1.3.2-r17.apk2025-12-04 13:54 4.9M 
[   ]secsipidx-1.3.2-r17.apk2025-12-04 13:54 2.8M 
[   ]seaweedfs-openrc-3.93-r4.apk2025-12-04 13:54 1.9K 
[   ]seaweedfs-doc-3.93-r4.apk2025-12-04 13:54 14K 
[   ]seaweedfs-3.93-r4.apk2025-12-04 13:54 26M 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 20:07 19K 
[   ]sdparm-1.12-r1.apk2024-10-25 20:07 143K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 20:22 2.1K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 20:22 12K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 20:22 74K 
[   ]sct-2018.12.18-r1.apk2024-10-25 20:07 3.5K 
[   ]screenkey-pyc-1.5-r7.apk2025-07-24 01:27 73K 
[   ]screenkey-doc-1.5-r7.apk2025-07-24 01:27 11K 
[   ]screenkey-1.5-r7.apk2025-07-24 01:27 76K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 20:07 2.6K 
[   ]scooper-1.3-r1.apk2024-10-25 20:07 474K 
[   ]schismtracker-doc-20251014-r0.apk2025-10-24 23:50 6.3K 
[   ]schismtracker-20251014-r0.apk2025-10-24 23:50 447K 
[   ]scalingo-1.30.0-r15.apk2025-12-04 13:54 5.3M 
[   ]sc3-plugins-3.13.0-r2.apk2025-02-08 23:43 9.4M 
[   ]sc-controller-udev-0.5.5-r0.apk2025-12-14 08:56 2.1K 
[   ]sc-controller-pyc-0.5.5-r0.apk2025-12-14 08:56 814K 
[   ]sc-controller-0.5.5-r0.apk2025-12-14 08:56 1.3M 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 20:07 4.5K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 20:07 95K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 20:07 35K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 20:07 22K 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 20:07 51K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 20:07 1.3M 
[   ]sblg-0.5.11-r0.apk2024-10-25 20:07 37K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 20:07 58K 
[   ]sbase-0_git20210730-r3.apk2024-10-25 20:07 120K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-20 18:49 934M 
[   ]satellite-openrc-1.0.0-r33.apk2025-12-04 13:54 1.9K 
[   ]satellite-doc-1.0.0-r33.apk2025-12-04 13:54 3.0K 
[   ]satellite-1.0.0-r33.apk2025-12-04 13:54 2.3M 
[   ]sandbar-0.1-r1.apk2025-05-14 21:14 15K 
[   ]sacc-doc-1.07-r1.apk2025-09-13 01:00 2.9K 
[   ]sacc-1.07-r1.apk2025-09-13 01:00 15K 
[   ]saait-doc-0.8-r0.apk2024-10-25 20:07 13K 
[   ]saait-0.8-r0.apk2024-10-25 20:07 7.1K 
[   ]s5cmd-2.3.0-r8.apk2025-12-04 13:54 5.2M 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 20:07 9.6K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 20:07 43K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 20:07 8.5K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 20:07 52K 
[   ]rygel-systemd-45.0-r1.apk2025-11-18 18:30 1.7K 
[   ]rygel-lang-45.0-r1.apk2025-11-18 18:30 584K 
[   ]rygel-doc-45.0-r1.apk2025-11-18 18:30 9.7K 
[   ]rygel-dev-45.0-r1.apk2025-11-18 18:30 43K 
[   ]rygel-45.0-r1.apk2025-11-18 18:30 740K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 20:07 2.3K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 20:07 2.0K 
[   ]ry-0.5.2-r1.apk2024-10-25 20:07 4.6K 
[   ]rvlprog-0.91-r2.apk2024-10-25 20:07 25K 
[   ]ruuvi-prometheus-openrc-0.1.9-r7.apk2025-12-04 13:54 1.7K 
[   ]ruuvi-prometheus-0.1.9-r7.apk2025-12-04 13:54 3.4M 
[   ]rustical-0.9.14-r0.apk2025-11-02 07:39 4.5M 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 20:07 13K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 20:07 17K 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 20:07 8.6K 
[   ]rustic-0.9.3-r0.apk2024-10-25 20:07 6.2M 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-25 20:07 2.2K 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-25 20:07 2.4M 
[   ]ruri-doc-3.9.3-r0.apk2025-10-27 03:05 2.2K 
[   ]ruri-3.9.3-r0.apk2025-10-27 03:05 136K 
[   ]runu-0.12.3-r1.apk2025-12-04 13:54 14M 
[   ]runst-doc-0.2.0-r0.apk2025-11-14 21:13 7.8K 
[   ]runst-0.2.0-r0.apk2025-11-14 21:13 2.0M 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-06 22:31 35K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-06 22:31 21K 
[   ]ruby-ruby-progressbar-1.13.0-r0.apk2025-07-06 18:24 25K 
[   ]ruby-path_expander-2.0.0-r0.apk2025-12-15 18:35 6.6K 
[   ]ruby-minitest-server-1.0.9-r0.apk2025-11-21 17:53 5.4K 
[   ]ruby-minitest-reporters-1.7.1-r0.apk2025-07-06 18:24 20K 
[   ]ruby-minitest-proveit-1.0.0-r0.apk2025-07-06 18:24 4.8K 
[   ]ruby-minitest-power_assert-0.3.1-r0.apk2025-07-06 18:24 2.2K 
[   ]ruby-minitest-focus-1.3.1-r0.apk2025-07-06 18:24 5.2K 
[   ]ruby-minitest-autotest-1.2.0-r0.apk2025-12-15 18:35 14K 
[   ]ruby-mail-2.9.0-r0.apk2025-10-24 12:36 394K 
[   ]ruby-libguestfs-1.56.1-r0.apk2025-07-24 01:27 107K 
[   ]ruby-hoe-4.3.0-r0.apk2025-10-21 04:19 27K 
[   ]ruby-hashdiff-doc-1.2.1-r0.apk2025-10-21 04:18 2.3K 
[   ]ruby-hashdiff-1.2.1-r0.apk2025-10-21 04:18 9.0K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-25 23:58 22K 
[   ]ruby-facter-4.10.0-r0.apk2025-07-28 02:04 218K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 00:00 2.3K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 00:00 3.1K 
[   ]ruby-dry-inflector-doc-1.2.0-r0.apk2025-10-21 04:16 2.3K 
[   ]ruby-dry-inflector-1.2.0-r0.apk2025-10-21 04:16 8.2K 
[   ]ruby-crack-doc-1.0.1-r0.apk2025-10-21 04:14 2.2K 
[   ]ruby-crack-1.0.1-r0.apk2025-10-21 04:14 2.3K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-25 23:56 2.3K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-25 23:56 89K 
[   ]ruby-byebug-12.0.0-r0.apk2025-10-21 04:12 123K 
[   ]ruby-build-runtime-20250925-r0.apk2025-09-28 15:45 1.3K 
[   ]ruby-build-doc-20250925-r0.apk2025-09-28 15:45 4.8K 
[   ]ruby-build-20250925-r0.apk2025-09-28 15:45 93K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-25 23:54 2.3K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-25 23:54 14K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-25 23:52 2.3K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-25 23:52 11K 
[   ]ruby-ansi-1.5.0-r0.apk2025-07-06 18:24 26K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 20:07 759K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 20:07 13K 
[   ]rtptools-1.22-r2.apk2024-10-25 20:07 40K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 20:07 14K 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 20:07 29K 
[   ]rtl8192eu-src-5.11.2.3_git20251017-r0.apk2025-12-04 13:54 2.6M 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 20:07 4.0M 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 20:07 8.2K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 20:07 60K 
[   ]rt6-6.0.1-r0.apk2025-08-12 07:10 12M 
[   ]rt5-5.0.8-r0.apk2025-06-15 04:44 17M 
[   ]rsstail-doc-2.2-r0.apk2025-02-13 07:17 2.8K 
[   ]rsstail-2.2-r0.apk2025-02-13 07:17 8.4K 
[   ]rss-email-doc-0.5.1-r0.apk2025-08-10 11:21 6.4K 
[   ]rss-email-0.5.1-r0.apk2025-08-10 11:21 2.2M 
[   ]rpicam-apps-doc-1.10.1-r0.apk2025-12-02 13:00 2.4K 
[   ]rpicam-apps-1.10.1-r0.apk2025-12-02 13:00 430K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 20:07 605K 
[   ]rot8-doc-1.0.0-r0.apk2025-07-28 21:43 2.2K 
[   ]rot8-1.0.0-r0.apk2025-07-28 21:43 796K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 20:07 119K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 20:07 66K 
[   ]roll-doc-2.6.1-r1.apk2025-10-13 15:43 11K 
[   ]roll-bash-completion-2.6.1-r1.apk2025-10-13 15:43 1.8K 
[   ]roll-2.6.1-r1.apk2025-10-13 15:43 12K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 20:07 5.0K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 20:07 8.8K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 20:07 4.9K 
[   ]rocm-core-doc-6.4.3-r0.apk2025-08-18 11:32 2.4K 
[   ]rocm-core-dev-6.4.3-r0.apk2025-08-18 11:32 6.6K 
[   ]rocm-core-6.4.3-r0.apk2025-08-18 11:32 7.7K 
[   ]rocm-cmake-doc-6.4.3-r0.apk2025-08-18 11:32 2.4K 
[   ]rocm-cmake-6.4.3-r0.apk2025-08-18 11:32 28K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 20:07 124K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 20:07 96K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 20:07 19K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 20:07 18K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 20:07 141K 
[   ]rke-doc-1.4.3-r20.apk2025-12-04 13:54 3.0K 
[   ]rke-1.4.3-r20.apk2025-12-04 13:54 20M 
[   ]rkdeveloptool-udev-1.1.0-r2.apk2025-11-26 20:47 1.8K 
[   ]rkdeveloptool-doc-1.1.0-r2.apk2025-11-26 20:47 3.0K 
[   ]rkdeveloptool-1.1.0-r2.apk2025-11-26 20:47 56K 
[   ]rizin-libs-0.8.1-r0.apk2025-06-30 16:48 5.1M 
[   ]rizin-doc-0.8.1-r0.apk2025-06-30 16:48 19K 
[   ]rizin-dev-0.8.1-r0.apk2025-06-30 16:48 323K 
[   ]rizin-0.8.1-r0.apk2025-06-30 16:48 2.7M 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-14 21:14 2.4K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-14 21:14 6.1K 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 12:03 261K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 20:07 1.7K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 20:07 16K 
[   ]rinetd-0.73-r0.apk2024-10-25 20:07 14K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 20:07 530K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 20:07 73K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 20:07 44K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 20:07 12K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 20:07 3.5K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 20:07 15K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 20:07 2.1K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 20:07 3.4K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 20:07 888K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 18:56 268K 
[   ]restinio-0.6.19-r1.apk2024-12-14 18:56 1.2K 
[   ]restic.mk-0.4.0-r0.apk2024-11-21 03:03 2.8K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 20:07 5.9K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 20:07 12K 
[   ]responder-3.1.7.0-r0.apk2025-10-14 14:54 747K 
[   ]resources-lang-1.9.1-r0.apk2025-11-21 09:55 211K 
[   ]resources-1.9.1-r0.apk2025-11-21 09:55 2.5M 
[   ]reredirect-doc-0.3-r0.apk2024-10-25 20:07 2.8K 
[   ]reredirect-0.3-r0.apk2024-10-25 20:07 7.7K 
[   ]reprotest-pyc-0.7.32-r0.apk2025-11-02 14:18 103K 
[   ]reprotest-0.7.32-r0.apk2025-11-02 14:18 80K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-17 12:07 1.7K 
[   ]repowerd-2023.07-r3.apk2025-02-17 12:07 838K 
[   ]repo-doc-2.60-r0.apk2025-12-12 19:49 40K 
[   ]repo-2.60-r0.apk2025-12-12 19:49 17K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 20:07 1.6K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 20:07 4.1K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 20:07 6.5K 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 20:07 1.6K 
[   ]repgrep-0.15.0-r0.apk2024-10-25 20:07 1.2M 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 20:07 6.1K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 20:07 18K 
[   ]remco-openrc-0.12.5-r5.apk2025-12-04 13:54 1.7K 
[   ]remco-doc-0.12.5-r5.apk2025-12-04 13:54 2.3K 
[   ]remco-0.12.5-r5.apk2025-12-04 13:54 9.5M 
[   ]remake-make-1.5-r1.apk2024-10-25 20:07 1.5K 
[   ]remake-doc-1.5-r1.apk2024-10-25 20:07 202K 
[   ]remake-dev-1.5-r1.apk2024-10-25 20:07 2.9K 
[   ]remake-1.5-r1.apk2024-10-25 20:07 137K 
[   ]regclient-0.11.1-r0.apk2025-12-08 10:41 14M 
[   ]regal-zsh-completion-0.36.1-r1.apk2025-12-04 13:54 4.0K 
[   ]regal-fish-completion-0.36.1-r1.apk2025-12-04 13:54 4.3K 
[   ]regal-bash-completion-0.36.1-r1.apk2025-12-04 13:54 6.1K 
[   ]regal-0.36.1-r1.apk2025-12-04 13:54 12M 
[   ]reg-0.16.1-r33.apk2025-12-04 13:54 4.6M 
[   ]refine-lang-0.6.3-r0.apk2025-11-20 23:49 32K 
[   ]refine-0.6.3-r0.apk2025-11-20 23:49 34K 
[   ]redlib-0.36.0-r0.apk2025-10-26 14:33 2.8M 
[   ]redhat-fonts-4.1.0-r1.apk2025-08-08 23:40 809K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 20:07 21K 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 20:07 53K 
[   ]recoll-1.37.5-r1.apk2024-10-25 20:07 2.7M 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 20:07 371K 
[   ]reason-rtop-3.8.2-r2.apk2025-10-25 04:57 24M 
[   ]reason-3.8.2-r2.apk2025-10-25 04:57 18M 
[   ]reap-doc-0.2-r0.apk2025-09-13 13:07 2.7K 
[   ]reap-0.2-r0.apk2025-09-13 13:07 4.8K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-14 21:14 19K 
[   ]readosm-1.1.0-r3.apk2025-05-14 21:14 15K 
[   ]reaction-tools-2.2.1-r0.apk2025-09-23 19:46 4.6K 
[   ]reaction-openrc-2.2.1-r0.apk2025-09-23 19:46 1.8K 
[   ]reaction-2.2.1-r0.apk2025-09-23 19:46 1.5M 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 19:23 3.7K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 19:23 26K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 20:07 831K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 20:07 319K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 20:07 36K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 20:07 1.7K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 20:07 19K 
[   ]razercfg-0.42-r7.apk2024-10-25 20:07 72K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 20:07 3.7K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 20:07 4.1K 
[   ]rauc-1.10.1-r0.apk2024-10-25 20:07 152K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 20:07 5.4K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 20:07 4.7K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 20:07 6.7K 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 20:07 3.6K 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 20:07 6.0M 
[   ]rathole-0.5.0-r0.apk2024-10-25 20:07 1.4M 
[   ]raspi2png-0.0.20190727-r0.apk2024-10-25 20:07 6.2K 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 08:39 887K 
[   ]rankwidth-static-0.9-r4.apk2025-10-27 22:18 4.0K 
[   ]rankwidth-libs-0.9-r4.apk2025-10-27 22:18 4.8K 
[   ]rankwidth-doc-0.9-r4.apk2025-10-27 22:18 2.9K 
[   ]rankwidth-dev-0.9-r4.apk2025-10-27 22:18 2.9K 
[   ]rankwidth-0.9-r4.apk2025-10-27 22:18 5.1K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-19 22:34 31K 
[   ]randrctl-1.10.0-r0.apk2024-11-19 22:34 28K 
[   ]raku-system-query-doc-0.1.6-r1.apk2025-08-29 07:35 2.5K 
[   ]raku-system-query-0.1.6-r1.apk2025-08-29 07:35 17K 
[   ]raku-inline-perl5-doc-0.60_git20250327-r1.apk2025-08-29 07:35 6.3K 
[   ]raku-inline-perl5-0.60_git20250327-r1.apk2025-08-29 07:35 2.1M 
[   ]raku-distribution-builder-makefromjson-doc-0.6-r1.apk2025-08-29 07:35 2.4K 
[   ]raku-distribution-builder-makefromjson-0.6-r1.apk2025-08-29 07:35 41K 
[   ]quodlibet-zsh-completion-4.7.1-r0.apk2025-09-16 18:53 2.7K 
[   ]quodlibet-pyc-4.7.1-r0.apk2025-09-16 18:53 1.8M 
[   ]quodlibet-lang-4.7.1-r0.apk2025-09-16 18:53 1.4M 
[   ]quodlibet-doc-4.7.1-r0.apk2025-09-16 18:53 8.7K 
[   ]quodlibet-bash-completion-4.7.1-r0.apk2025-09-16 18:53 4.5K 
[   ]quodlibet-4.7.1-r0.apk2025-09-16 18:53 1.0M 
[   ]queercat-1.0.0-r0.apk2024-10-25 20:07 8.0K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 20:07 466K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 20:07 2.1K 
[   ]qtpass-1.4.0-r0.apk2024-10-25 20:07 417K 
[   ]qtile-pyc-0.33.0-r0.apk2025-09-17 07:45 858K 
[   ]qtile-0.33.0-r0.apk2025-09-17 07:45 469K 
[   ]qt6ct-0.11-r2.apk2025-12-04 13:54 188K 
[   ]qt5ct-dev-1.9-r0.apk2025-11-19 04:36 1.5K 
[   ]qt5ct-1.9-r0.apk2025-11-19 04:36 217K 
[   ]qsynth-doc-1.0.3-r0.apk2025-11-25 11:01 4.4K 
[   ]qsynth-1.0.3-r0.apk2025-11-25 11:01 422K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 03:04 11K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 03:04 438K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 20:07 871K 
[   ]qrcodegen-doc-1.8.0-r0.apk2025-11-29 18:56 3.6K 
[   ]qrcodegen-dev-1.8.0-r0.apk2025-11-29 18:56 17K 
[   ]qrcodegen-1.8.0-r0.apk2025-11-29 18:56 12K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 20:07 171K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-14 21:14 5.6K 
[   ]qperf-0.4.11-r2.apk2025-05-14 21:14 33K 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 19:00 4.2K 
[   ]qpdfview-0.5-r2.apk2025-01-29 19:00 1.0M 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 20:07 28K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 20:07 6.8K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 20:07 1.5K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 20:07 1.0M 
[   ]qflipper-1.3.3-r1.apk2024-10-25 20:07 443K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 20:07 14K 
[   ]qdjango-0.6.2-r1.apk2024-10-25 20:07 93K 
[   ]qbittorrent-cli-2.2.0-r5.apk2025-12-04 13:54 5.7M 
[   ]qadwaitadecorations-0.1.7-r1.apk2025-10-07 21:03 44K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 20:07 810K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 20:07 113K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 20:07 871K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 11:57 721K 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 11:57 315K 
[   ]pyonji-0.1.0-r11.apk2025-12-04 13:54 2.9M 
[   ]pympress-pyc-1.8.6-r0.apk2025-11-10 05:00 182K 
[   ]pympress-lang-1.8.6-r0.apk2025-11-10 05:00 59K 
[   ]pympress-doc-1.8.6-r0.apk2025-11-10 05:00 75K 
[   ]pympress-1.8.6-r0.apk2025-11-10 05:00 180K 
[   ]pyinfra-pyc-3.3.1-r0.apk2025-07-16 08:09 361K 
[   ]pyinfra-3.3.1-r0.apk2025-07-16 08:09 192K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 20:07 61K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 20:07 45K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 20:07 8.0K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 20:07 15K 
[   ]py3-zope-configuration-pyc-7.0-r0.apk2025-12-02 00:39 49K 
[   ]py3-zope-configuration-7.0-r0.apk2025-12-02 00:39 39K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 20:07 29K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 20:07 45K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 09:42 68K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 09:42 52K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-14 21:14 95K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-14 21:14 78K 
[   ]py3-yosys-0.57-r0.apk2025-10-24 21:15 557K 
[   ]py3-yara-4.5.4-r0.apk2025-08-13 15:32 17K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 20:07 47K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 20:07 32K 
[   ]py3-xsdata-pyc-25.7-r0.apk2025-07-07 20:47 393K 
[   ]py3-xsdata-25.7-r0.apk2025-07-07 20:47 190K 
[   ]py3-xlwt-pyc-1.3.0-r10.apk2025-05-14 21:14 165K 
[   ]py3-xlwt-1.3.0-r10.apk2025-05-14 21:14 94K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:31 312K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:04 34K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:13 7.1K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:13 12K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 20:07 25K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 20:07 13K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 20:07 111K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 20:07 53K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 20:07 28K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 20:07 17K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 11:57 131K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 11:57 83K 
[   ]py3-wikipedia-pyc-1.4.0-r0.apk2025-09-14 02:03 16K 
[   ]py3-wikipedia-doc-1.4.0-r0.apk2025-09-14 02:03 4.1K 
[   ]py3-wikipedia-1.4.0-r0.apk2025-09-14 02:03 12K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 20:07 14K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 20:07 13K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 06:49 12K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 06:49 22K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 20:07 13K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 20:07 7.6K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 20:07 20K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 20:07 18K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 20:07 80K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 20:07 41K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 20:07 2.6K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 20:07 4.6K 
[   ]py3-virtualenvwrapper-pyc-6.1.1-r1.apk2025-12-18 11:02 12K 
[   ]py3-virtualenvwrapper-6.1.1-r1.apk2025-12-18 11:02 22K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 12K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]py3-vdf-pyc-3.4-r2.apk2025-10-16 22:04 17K 
[   ]py3-vdf-3.4-r2.apk2025-10-16 22:04 11K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 20:07 8.6K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 20:07 19K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 20:07 2.8K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 20:07 3.5K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 20:07 15K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 20:07 14K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 20:07 25K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 20:07 15K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 20:07 8.7K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 20:07 9.8K 
[   ]py3-unidns-pyc-0.0.4-r0.apk2025-11-03 06:49 25K 
[   ]py3-unidns-examples-0.0.4-r0.apk2025-11-03 06:49 2.6K 
[   ]py3-unidns-0.0.4-r0.apk2025-11-03 06:49 14K 
[   ]py3-unicrypto-pyc-0.0.12-r0.apk2025-11-03 06:49 92K 
[   ]py3-unicrypto-0.0.12-r0.apk2025-11-03 06:49 59K 
[   ]py3-unicorn-pyc-2.1.4-r0.apk2025-10-14 14:04 92K 
[   ]py3-unicorn-hat-2.1.2-r6.apk2024-11-06 09:42 16K 
[   ]py3-unicorn-2.1.4-r0.apk2025-10-14 14:04 51K 
[   ]py3-unearth-pyc-0.18.0-r0.apk2025-10-14 14:54 82K 
[   ]py3-unearth-0.18.0-r0.apk2025-10-14 14:54 41K 
[   ]py3-uc-micro-py-pyc-1.0.3-r0.apk2025-10-27 08:13 4.6K 
[   ]py3-uc-micro-py-1.0.3-r0.apk2025-10-27 08:13 6.5K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 20:07 6.8K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 20:07 2.2K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 20:07 5.7K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 20:07 16K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 20:07 11K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 20:07 14K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 20:07 9.9K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 20:07 39K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 20:07 24K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-10 01:33 26K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-10 01:33 17K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 20:07 55K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 20:07 34K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 20:07 8.8K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 20:07 9.2K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 20:07 129K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 20:07 98K 
[   ]py3-trakit-pyc-0.2.5-r0.apk2025-11-23 23:18 17K 
[   ]py3-trakit-0.2.5-r0.apk2025-11-23 23:18 19K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 20:07 236K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 20:07 286K 
[   ]py3-tokenizers-pyc-0.21.2-r0.apk2025-06-29 22:42 29K 
[   ]py3-tokenizers-0.21.2-r0.apk2025-06-29 22:42 1.7M 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 20:07 275K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 20:07 179K 
[   ]py3-tls_parser-pyc-2.0.2-r0.apk2025-07-15 08:22 17K 
[   ]py3-tls_parser-2.0.2-r0.apk2025-07-15 08:22 10K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 20:07 28K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 20:07 2.8K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 20:07 24K 
[   ]py3-tidalapi-pyc-0.8.4-r0.apk2025-07-15 08:22 91K 
[   ]py3-tidalapi-0.8.4-r0.apk2025-07-15 08:22 50K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 20:07 6.4K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 20:07 6.0K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 20:07 9.0K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 20:07 10K 
[   ]py3-textual-pyc-5.3.0-r0.apk2025-10-27 08:13 1.2M 
[   ]py3-textual-5.3.0-r0.apk2025-10-27 08:13 612K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 20:07 16K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 20:07 17K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 20:07 21K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 20:07 15K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 20:07 31K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 20:07 21K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 20:07 13K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 20:07 9.2K 
[   ]py3-telegram-bot-pyc-22.5-r0.apk2025-11-19 18:29 802K 
[   ]py3-telegram-bot-22.5-r0.apk2025-11-19 18:29 497K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 20:07 52K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 20:07 23K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 20:07 6.6K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 20:07 6.9K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk2025-08-08 23:40 5.6K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r1.apk2025-08-08 23:40 9.8K 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 20:07 2.1K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 20:07 2.5M 
[   ]py3-svgpath-pyc-7.0-r0.apk2025-07-08 06:16 24K 
[   ]py3-svgpath-7.0-r0.apk2025-07-08 06:16 19K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 19:49 42K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 19:49 2.1K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 19:49 30K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 20:07 10K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 20:07 7.7K 
[   ]py3-sssd-pyc-2.11.1-r2.apk2025-11-20 22:15 49K 
[   ]py3-sssd-2.11.1-r2.apk2025-11-20 22:15 56K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-06 23:03 41K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-06 23:03 26K 
[   ]py3-spotipy-pyc-2.24.0-r3.apk2025-10-14 15:05 49K 
[   ]py3-spotipy-2.24.0-r3.apk2025-10-14 15:05 30K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 07:52 219K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 07:52 118K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 20:07 6.3K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 20:07 6.1K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 20:07 24K 
[   ]py3-spin-0.8-r0.apk2024-10-25 20:07 19K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 20:07 13K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 20:07 5.7K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 20:07 6.1K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 20:07 4.9K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 20:07 7.3K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk2025-07-15 08:22 19K 
[   ]py3-sphinxcontrib-spelling-8.0.1-r0.apk2025-07-15 08:22 13K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-14 21:14 5.6K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-14 21:14 4.9K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 20:07 9.3K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 20:07 7.6K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 20:07 20K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 20:07 24K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 20:07 16K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk2025-07-15 08:22 17K 
[   ]py3-sphinxcontrib-phpdomain-0.13.0-r0.apk2025-07-15 08:22 11K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 20:07 8.7K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-14 21:14 16K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-14 21:14 10K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 20:07 3.1K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 20:07 4.1K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 20:07 7.9K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 20:07 12K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 20:07 15K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 20:07 44K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 20:07 21K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 20:07 34K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 20:07 4.3K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 20:07 18K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 20:07 15K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 20:07 7.6K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 20:07 7.9K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 20:07 6.6K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 20:07 17K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 20:07 3.3K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 20:07 3.9K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 20:07 3.5K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 20:07 9.1K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 20:07 34K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 20:07 18K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 20:07 4.2K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 20:07 5.7K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 20:07 9.1K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 20:07 7.5K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 20:07 4.1K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 20:07 5.7K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 20:07 12K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 20:07 9.0K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 20:07 9.3K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 20:07 7.6K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 20:07 2.2K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 20:07 8.8K 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 20:07 5.5K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 20:07 2.4M 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 20:07 2.5K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 20:07 30K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 20:07 43K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 20:07 81K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 20:07 1.8K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 20:07 65K 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 20:07 2.3K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 20:07 1.2M 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 20:07 2.0K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 20:07 11K 
[   ]py3-sphinx-autoapi-pyc-3.6.1-r0.apk2025-10-11 10:59 59K 
[   ]py3-sphinx-autoapi-3.6.1-r0.apk2025-10-11 10:59 31K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 20:07 22K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 20:07 14K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 20:07 44K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 20:07 30K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 20:07 14K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 20:07 11K 
[   ]py3-socketio-pyc-5.13.0-r0.apk2025-09-05 23:29 127K 
[   ]py3-socketio-doc-5.13.0-r0.apk2025-09-05 23:29 36K 
[   ]py3-socketio-5.13.0-r0.apk2025-09-05 23:29 62K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 20:07 27K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 20:07 17K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 22:54 95K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 22:54 47K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 20:07 26K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 20:07 15K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 20:07 729K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 20:07 5.8K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 20:07 382K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-12 10:35 2.0K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-12 10:35 223K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 20:07 8.1K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 20:07 7.5K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 20:07 12K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 20:07 7.9K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 20:07 157K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 20:07 214K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 20:07 5.8K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 20:07 8.0K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simple-websocket-pyc-1.1.0-r0.apk2025-09-05 23:29 23K 
[   ]py3-simple-websocket-doc-1.1.0-r0.apk2025-09-05 23:29 2.3K 
[   ]py3-simple-websocket-1.1.0-r0.apk2025-09-05 23:29 11K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-14 21:14 16K 
[   ]py3-simber-0.2.6-r5.apk2025-05-14 21:14 12K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 20:07 79K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 20:07 7.2K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 20:07 44K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 15:13 55K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 15:13 38K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 20:07 6.0K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 20:07 5.4K 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 20:07 42K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 20:07 2.5M 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 02:58 32K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 02:58 20K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 20:07 4.9K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 20:07 101K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 20:07 482K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 20:07 240K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 20:07 74K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 20:07 56K 
[   ]py3-schema-pyc-0.7.7-r0.apk2025-07-07 16:08 21K 
[   ]py3-schema-0.7.7-r0.apk2025-07-07 16:08 19K 
[   ]py3-rtree-pyc-1.4.1-r0.apk2025-08-14 10:10 48K 
[   ]py3-rtree-1.4.1-r0.apk2025-08-14 10:10 26K 
[   ]py3-rst2pdf-pyc-0.102-r0.apk2025-06-10 19:49 206K 
[   ]py3-rst2pdf-0.102-r0.apk2025-06-10 19:49 154K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 20:07 24K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 20:07 2.2K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 20:07 12K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 20:07 6.6K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 20:07 6.1K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 20:07 6.1K 
[   ]py3-rst-0.1-r9.apk2024-10-25 20:07 5.6K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 20:07 16K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 20:07 36K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 20:07 54K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 20:07 29K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 20:07 91K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 20:07 47K 
[   ]py3-rofi-pyc-1.0.1-r1.apk2025-08-08 23:40 12K 
[   ]py3-rofi-1.0.1-r1.apk2025-08-08 23:40 12K 
[   ]py3-rns-pyc-1.0.0-r0.apk2025-09-23 19:39 705K 
[   ]py3-rns-1.0.0-r0.apk2025-09-23 19:39 344K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 20:07 11K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 20:07 13K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 20:07 40K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 20:07 31K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 20:07 12K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 20:07 13K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 20:07 6.6K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 20:07 5.5K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:24 11K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:24 12K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-19 22:34 94K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-19 22:34 50K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 21:28 23K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 21:28 24K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 20:07 54K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 20:07 37K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-15 04:01 50K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-15 04:01 39K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 20:07 18K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 20:07 12K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 20:07 50K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 20:07 5.1K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 20:07 32K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 20:07 15K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 20:07 11K 
[   ]py3-queuelib-pyc-1.8.0-r0.apk2025-09-01 18:13 24K 
[   ]py3-queuelib-1.8.0-r0.apk2025-09-01 18:13 12K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 20:07 7.8K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 20:07 8.8K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 20:07 180K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 20:07 56K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 20:07 98K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 20:07 37K 
[   ]py3-pyzor-pyc-1.1.2-r0.apk2025-08-14 06:26 54K 
[   ]py3-pyzor-1.1.2-r0.apk2025-08-14 06:26 40K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 21:46 40K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 21:46 23K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 20:07 293K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 20:07 806K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 20:07 8.4K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 20:07 2.3K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 20:07 8.6K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-15 21:22 6.5K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-15 21:22 8.1K 
[   ]py3-python-iptables-pyc-1.2.0-r1.apk2025-12-12 15:43 68K 
[   ]py3-python-iptables-1.2.0-r1.apk2025-12-12 15:43 38K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 20:07 9.3K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 20:07 7.4K 
[   ]py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk2025-08-08 12:57 11K 
[   ]py3-pytest-textual-snapshot-1.1.0-r0.apk2025-08-08 12:57 9.2K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 20:07 25K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 20:07 20K 
[   ]py3-pytest-regtest-pyc-2.3.5-r0.apk2025-10-12 13:32 30K 
[   ]py3-pytest-regtest-2.3.5-r0.apk2025-10-12 13:32 16K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 20:07 7.9K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 20:07 10K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 20:07 22K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 20:07 22K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 20:07 2.8K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 20:07 4.5K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 20:07 7.0K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 20:07 5.9K 
[   ]py3-pytest-datadir-pyc-1.8.0-r0.apk2025-08-05 12:48 5.4K 
[   ]py3-pytest-datadir-1.8.0-r0.apk2025-08-05 12:48 7.1K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-14 21:14 39K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-14 21:14 30K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 20:07 6.0K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 20:07 2.8K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 20:07 7.0K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 20:17 2.9K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 20:17 39K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 22:09 68K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 22:09 36K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 20:07 97K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 20:07 68K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-14 21:14 23K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-14 21:14 25K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 20:07 63K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 20:07 56K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-16 22:35 32K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-16 22:35 35K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 20:07 78K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 20:07 44K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 20:07 14K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 20:07 14K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 20:07 26K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 20:07 22K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 20:07 18K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 20:07 9.6K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 20:07 47K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 20:07 4.3K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 20:07 37K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 20:07 90K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 20:07 2.1K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 20:07 52K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 20:07 42K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 20:07 76K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 04:42 243K 
[   ]py3-pymupdf-pyc-1.26.4-r2.apk2025-12-19 19:58 500K 
[   ]py3-pymupdf-1.26.4-r2.apk2025-12-19 19:58 320K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-17 12:07 6.5K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-17 12:07 12K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 20:07 9.8K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 20:07 9.2K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 20:07 32K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 20:07 17K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 20:07 31K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 20:07 23K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 20:07 29K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 20:07 22K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 20:07 32K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 20:07 52K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 20:07 35K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 20:07 18K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 20:07 8.9K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 20:07 17K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 20:07 9.4K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 20:07 20K 
[   ]py3-pyinstrument-pyc-5.1.1-r0.apk2025-08-13 05:17 101K 
[   ]py3-pyinstrument-5.1.1-r0.apk2025-08-13 05:17 110K 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 20:07 502K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 20:07 1.9M 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 20:07 10K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 20:07 15K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 20:07 5.1K 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 20:07 35K 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 09:42 1.4M 
[   ]py3-pyglet-pyc-2.1.5-r1.apk2025-08-27 14:45 1.6M 
[   ]py3-pyglet-2.1.5-r1.apk2025-08-27 14:45 890K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 20:07 13K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 20:07 13K 
[   ]py3-pygelbooru-pyc-1.0.0-r0.apk2025-07-30 04:28 11K 
[   ]py3-pygelbooru-1.0.0-r0.apk2025-07-30 04:28 20K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 20:07 13K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 20:07 3.6K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 20:07 11K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 20:07 44K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 20:07 3.7K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 20:07 5.5K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 20:07 360K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 20:07 17K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 20:07 15K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 20:07 45K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 20:07 35K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 20:07 92K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 20:07 52K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 20:07 11K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 20:07 18K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 20:07 38K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 20:07 21K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 28K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 20:18 12K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 20:18 9.3K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 20:07 9.9K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 20:07 7.4K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 20:07 5.0K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 20:07 2.6K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 20:07 8.9K 
[   ]py3-prefixed-pyc-0.9.0-r0.apk2025-08-28 09:31 8.6K 
[   ]py3-prefixed-0.9.0-r0.apk2025-08-28 09:31 14K 
[   ]py3-prctl-pyc-1.8.1-r0.apk2025-10-20 13:57 7.2K 
[   ]py3-prctl-1.8.1-r0.apk2025-10-20 13:57 13K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 20:07 16K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 20:07 14K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 20:52 17K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 20:52 16K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 19:00 118K 
[   ]py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk2025-07-25 23:41 26K 
[   ]py3-poetry-dynamic-versioning-1.9.1-r0.apk2025-07-25 23:41 21K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 20:07 25K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 20:07 15K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 06:28 33K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 06:28 19K 
[   ]py3-plotly-5.24.1-r2.apk2025-04-18 22:10 20M 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 20:07 8.5K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 20:07 6.9K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r9.apk2025-07-15 08:22 3.3K 
[   ]py3-piper-phonemize-2023.11.14.4-r9.apk2025-07-15 08:22 140K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 20:07 4.7K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 20:07 7.0K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 20:07 36K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 20:07 41K 
[   ]py3-pigpio-79-r4.apk2024-10-25 20:07 93K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 20:07 5.4K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 20:07 7.5K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 20:07 34K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 20:07 20K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 20:07 17K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 20:07 2.2K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 20:07 13K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 20:07 11K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 20:07 8.9K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 20:07 13K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 20:07 9.8K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 20:07 147K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 20:07 234K 
[   ]py3-pdoc-pyc-15.0.4-r0.apk2025-10-12 21:19 169K 
[   ]py3-pdoc-15.0.4-r0.apk2025-10-12 21:19 133K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 21:24 13K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 21:24 165K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 20:07 7.1K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 20:07 6.3K 
[   ]py3-pathvalidate-pyc-3.3.1-r0.apk2025-07-15 08:22 34K 
[   ]py3-pathvalidate-3.3.1-r0.apk2025-07-15 08:22 19K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 20:07 13K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 20:07 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 20:07 12K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 20:07 11K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 20:07 4.0K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 20:07 391K 
[   ]py3-owslib-pyc-0.35.0-r0.apk2025-11-02 19:15 425K 
[   ]py3-owslib-0.35.0-r0.apk2025-11-02 19:15 195K 
[   ]py3-ovos-workshop-pyc-7.0.6-r0.apk2025-07-15 19:29 165K 
[   ]py3-ovos-workshop-7.0.6-r0.apk2025-07-15 19:29 92K 
[   ]py3-ovos-utils-pyc-0.8.1-r0.apk2025-07-15 20:03 130K 
[   ]py3-ovos-utils-0.8.1-r0.apk2025-07-15 20:03 73K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 20:07 5.4K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 20:07 9.5K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 20:07 4.1K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 20:07 8.3K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 20:07 6.8K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 20:07 10K 
[   ]py3-ovos-plugin-manager-pyc-1.0.3-r0.apk2025-07-15 19:34 167K 
[   ]py3-ovos-plugin-manager-1.0.3-r0.apk2025-07-15 19:34 86K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk2025-07-15 17:20 10K 
[   ]py3-ovos-phal-plugin-system-1.3.3-r0.apk2025-07-15 17:20 11K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:31 9.7K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:31 12K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:31 11K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:31 95K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 20:07 4.4K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 20:07 4.5K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk2025-07-15 20:03 5.1K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk2025-07-15 20:03 8.8K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk2025-07-15 20:03 3.9K 
[   ]py3-ovos-ocp-rss-plugin-0.1.1-r0.apk2025-07-15 20:03 8.2K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk2025-07-15 20:03 8.9K 
[   ]py3-ovos-ocp-news-plugin-0.1.1-r0.apk2025-07-15 20:03 11K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 20:07 3.4K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 20:07 8.1K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 20:07 104K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 20:07 47K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 20:07 86K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 20:07 550K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk2025-07-15 20:03 4.8K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk2025-07-15 20:03 8.9K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 20:07 437K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 20:07 358K 
[   ]py3-ovos-config-pyc-2.1.1-r0.apk2025-07-15 18:37 35K 
[   ]py3-ovos-config-2.1.1-r0.apk2025-07-15 18:37 47K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 20:07 164K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 20:07 103K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-04-29 19:46 89K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-04-29 19:46 50K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 20:07 91K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 20:07 46K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 20:07 8.2K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 20:07 10K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 20:07 17K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 20:07 12K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 20:07 42K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 20:07 498K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 20:07 10K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 20:07 8.2K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 20:56 155K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 20:07 12K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 20:07 7.6K 
[   ]py3-okonomiyaki-pyc-2.0.0-r1.apk2025-10-14 18:13 243K 
[   ]py3-okonomiyaki-2.0.0-r1.apk2025-10-14 18:13 7.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 20:07 78K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 20:07 4.9M 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 02:04 28K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 02:04 21K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 20:07 8.6K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 20:07 7.4K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 20:07 32K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 20:07 21K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 20:07 5.7K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 20:07 7.6K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 20:07 9.9K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 20:07 9.4K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 20:07 25K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 20:07 20K 
[   ]py3-netmiko-pyc-4.6.0-r0.apk2025-08-13 15:31 372K 
[   ]py3-netmiko-4.6.0-r0.apk2025-08-13 15:31 192K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 20:07 9.2K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 20:07 201K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 20:07 107K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 20:07 68K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 20:07 9.9K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 20:07 9.4K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:10 51K 
[   ]py3-msldap-pyc-0.5.15-r2.apk2025-10-17 14:40 330K 
[   ]py3-msldap-examples-0.5.15-r2.apk2025-10-17 14:40 18K 
[   ]py3-msldap-0.5.15-r2.apk2025-10-17 14:40 127K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 20:07 156K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 20:07 94K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 20:07 8.2K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 20:07 7.4K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 20:07 36K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 20:07 25K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 20:07 74K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 20:07 46K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 22:26 34K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 22:26 28K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 20:07 37K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 20:07 25K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-16 18:03 2.6K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-16 18:03 829K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 20:07 48K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 20:07 25K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 20:07 9.7K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 20:07 2.4K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 20:07 95K 
[   ]py3-mistune1-pyc-0.8.4-r6.apk2025-11-09 18:52 21K 
[   ]py3-mistune1-0.8.4-r6.apk2025-11-09 18:52 14K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-19 11:44 93K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-19 11:44 45K 
[   ]py3-minio-pyc-7.2.18-r0.apk2025-11-10 03:17 155K 
[   ]py3-minio-7.2.18-r0.apk2025-11-10 03:17 75K 
[   ]py3-minikerberos-pyc-0.4.9-r0.apk2025-11-03 06:49 287K 
[   ]py3-minikerberos-examples-0.4.9-r0.apk2025-11-03 06:49 17K 
[   ]py3-minikerberos-0.4.9-r0.apk2025-11-03 06:49 123K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 11:57 129K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 11:57 64K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 18:52 23K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 18:52 10K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 16:04 42K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 16:04 26K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 20:07 296K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 20:07 143K 
[   ]py3-meshtastic-2.7.2-r0.apk2025-09-16 13:46 540K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 11:57 27K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 11:57 965K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-21 22:37 85K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 20:07 4.5K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 20:07 5.3K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-21 22:37 48K 
[   ]py3-markdownify-pyc-1.2.2-r0.apk2025-11-27 16:00 18K 
[   ]py3-markdownify-1.2.2-r0.apk2025-11-27 16:00 16K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 20:07 75K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 20:07 47K 
[   ]py3-marisa-trie-1.3.1-r0.apk2025-08-29 09:31 135K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 20:07 58K 
[   ]py3-manuel-pyc-1.13.0-r1.apk2025-10-14 15:04 26K 
[   ]py3-manuel-1.13.0-r1.apk2025-10-14 15:04 39K 
[   ]py3-mando-pyc-0.8.2-r0.apk2025-12-18 11:02 35K 
[   ]py3-mando-doc-0.8.2-r0.apk2025-12-18 11:02 4.2K 
[   ]py3-mando-0.8.2-r0.apk2025-12-18 11:02 22K 
[   ]py3-maidenhead-pyc-1.8.0-r0.apk2025-08-10 21:05 8.0K 
[   ]py3-maidenhead-doc-1.8.0-r0.apk2025-08-10 21:05 3.7K 
[   ]py3-maidenhead-1.8.0-r0.apk2025-08-10 21:05 7.9K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 20:07 123K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 20:07 191K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 20:07 2.0K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 20:07 16K 
[   ]py3-ly-pyc-0.9.9-r0.apk2025-07-15 08:22 352K 
[   ]py3-ly-doc-0.9.9-r0.apk2025-07-15 08:22 8.1K 
[   ]py3-ly-0.9.9-r0.apk2025-07-15 08:22 187K 
[   ]py3-lxmf-pyc-0.8.0-r0.apk2025-09-23 19:39 109K 
[   ]py3-lxmf-0.8.0-r0.apk2025-09-23 19:39 51K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 20:07 51K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 20:07 32K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 20:07 2.6K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 20:07 4.0K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 20:07 107K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 20:07 69K 
[   ]py3-lsp-mypy-pyc-0.7.0-r1.apk2025-08-30 01:39 13K 
[   ]py3-lsp-mypy-0.7.0-r1.apk2025-08-30 01:39 13K 
[   ]py3-logtop-pyc-0.7-r1.apk2025-08-08 23:40 4.1K 
[   ]py3-logtop-0.7-r1.apk2025-08-08 23:40 20K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 20:07 3.1K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 20:07 4.2K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:22 30K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:22 766K 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 20:07 112K 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 20:07 4.7M 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 20:07 2.2M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 20:07 58K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 20:07 2.4M 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 20:07 713K 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 20:07 675K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 20:07 57M 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 20:07 230K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 20:07 7.6K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 20:07 221K 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 20:07 45K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 20:07 19M 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 20:07 208K 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 20:07 112K 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 20:07 1.8M 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 20:07 10M 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 20:07 500K 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 20:07 934K 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 20:07 1.9M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 20:07 5.6M 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 20:07 1.1M 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 20:07 1.6K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 20:07 23K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 20:07 21K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 18:53 71K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 18:53 33K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 20:07 25K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 20:07 33K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 09:39 18K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 09:39 12K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 20:07 30K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 20:07 20K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 20:07 33K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 20:07 28K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 21:40 50K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 21:40 30K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 20:07 13K 
[   ]py3-libguestfs-1.56.1-r0.apk2025-07-24 01:27 178K 
[   ]py3-libcec-rpi-6.0.2-r4.apk2024-10-25 20:07 105K 
[   ]py3-libacl-0.7.3-r0.apk2025-10-16 22:04 25K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 20:07 9.5K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 20:07 16K 
[   ]py3-latex2mathml-pyc-3.78.1-r1.apk2025-09-29 18:48 35K 
[   ]py3-latex2mathml-3.78.1-r1.apk2025-09-29 18:48 72K 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 20:07 110K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 20:07 174K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 20:07 9.5K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 20:07 8.4K 
[   ]py3-knowit-pyc-0.5.11-r0.apk2025-11-23 23:18 62K 
[   ]py3-knowit-0.5.11-r0.apk2025-11-23 23:18 32K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 20:07 17K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 20:07 13K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 20:07 2.0K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 20:07 9.0K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 20:07 245K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 20:07 125K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 20:07 9.3K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 20:07 8.3K 
[   ]py3-joserfc-pyc-1.5.0-r0.apk2025-12-04 13:54 110K 
[   ]py3-joserfc-1.5.0-r0.apk2025-12-04 13:54 54K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 20:07 6.1K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 20:07 5.9K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-09 09:04 16K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-09 09:04 10K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 22:49 8.1K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 22:49 6.7K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 20:07 9.5K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 20:07 7.6K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-17 22:13 6.1K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-17 22:13 5.3K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:57 13K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:57 12K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-14 21:14 15K 
[   ]py3-itunespy-1.6-r5.apk2025-05-14 21:14 9.7K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 20:07 5.3K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 20:07 6.0K 
[   ]py3-itemloaders-pyc-1.3.2-r1.apk2025-08-08 23:40 17K 
[   ]py3-itemloaders-1.3.2-r1.apk2025-08-08 23:40 12K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 20:42 13K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 20:42 11K 
[   ]py3-iso639-lang-pyc-2.6.3-r0.apk2025-12-04 13:54 12K 
[   ]py3-iso639-lang-2.6.3-r0.apk2025-12-04 13:54 311K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-25 07:04 67K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-25 07:04 43K 
[   ]py3-irc-pyc-20.4.1-r1.apk2025-10-07 21:03 70K 
[   ]py3-irc-20.4.1-r1.apk2025-10-07 21:03 40K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 21:37 4.6K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 21:37 12K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 20:07 15K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 20:07 9.4K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 20:07 25K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 20:07 10K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 20:07 19K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 20:07 3.7K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 20:07 4.4K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 20:07 20K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 20:07 13K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 20:07 243K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 20:07 229K 
[   ]py3-imageio-pyc-2.37.0-r0.apk2025-07-15 08:22 504K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 20:07 20K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 20:07 16K 
[   ]py3-imageio-2.37.0-r0.apk2025-07-15 08:22 285K 
[   ]py3-igraph-pyc-1.0.0-r0.apk2025-10-27 22:18 374K 
[   ]py3-igraph-dev-1.0.0-r0.apk2025-10-27 22:18 2.6K 
[   ]py3-igraph-1.0.0-r0.apk2025-10-27 22:18 410K 
[   ]py3-icalendar-searcher-pyc-1.0.3-r0.apk2025-12-07 19:13 26K 
[   ]py3-icalendar-searcher-1.0.3-r0.apk2025-12-07 19:13 35K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 20:07 3.3K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 20:07 4.6K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 20:07 22K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 20:07 162K 
[   ]py3-hishel-pyc-0.1.4-r0.apk2025-10-15 12:50 144K 
[   ]py3-hishel-0.1.4-r0.apk2025-10-15 12:50 77K 
[   ]py3-himitsu-pyc-0.0.9-r0.apk2025-08-26 12:09 7.5K 
[   ]py3-himitsu-0.0.9-r0.apk2025-08-26 12:09 5.8K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 20:07 107K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 20:07 70K 
[   ]py3-hfst-3.16.2-r0.apk2025-03-28 16:15 356K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 20:07 28K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 20:07 19K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 20:07 13K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 20:07 13K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 20:07 24K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 20:07 25K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 20:07 14K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 20:07 11K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r1.apk2025-08-08 23:40 30K 
[   ]py3-gtkspellcheck-5.0.3-r1.apk2025-08-08 23:40 45K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-14 21:14 5.8K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-14 21:14 6.7K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2025-05-14 21:14 17K 
[   ]py3-googletrans-3.0.0-r5.apk2025-05-14 21:14 15K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 20:07 11K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 20:07 9.2K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 20:07 84K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 20:07 47K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 20:07 13K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 20:07 10K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 20:07 227K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 20:07 128K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 20:07 14K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 20:07 12K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 20:07 30K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 20:07 20K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 20:07 22K 
[   ]py3-gdcm-3.2.2-r3.apk2025-12-02 04:28 691K 
[   ]py3-furl-pyc-2.1.4-r0.apk2025-07-15 08:22 32K 
[   ]py3-furl-2.1.4-r0.apk2025-07-15 08:22 28K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 20:07 161K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 20:07 89K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 20:07 40K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 20:07 9.7K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 20:07 9.0K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 20:07 7.0K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 20:07 7.9K 
[   ]py3-flask-socketio-pyc-5.5.1-r0.apk2025-09-05 23:29 26K 
[   ]py3-flask-socketio-doc-5.5.1-r0.apk2025-09-05 23:29 23K 
[   ]py3-flask-socketio-5.5.1-r0.apk2025-09-05 23:29 18K 
[   ]py3-flask-security-pyc-5.6.2-r0.apk2025-11-10 03:16 227K 
[   ]py3-flask-security-5.6.2-r0.apk2025-11-10 03:16 297K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 20:07 59K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 20:07 40K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 20:07 20K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 20:07 115K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 06:32 6.2K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 06:32 18K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 20:07 95K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 20:07 172K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 20:07 11K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 20:07 8.2K 
[   ]py3-flask-migrate-pyc-4.1.0-r0.apk2025-07-15 08:22 18K 
[   ]py3-flask-migrate-4.1.0-r0.apk2025-07-15 08:22 13K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 20:07 3.9K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 20:07 5.6K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 20:07 26K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 20:07 16K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 20:07 7.9K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 20:07 5.6K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-25 07:04 47K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-25 07:04 27K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 20:07 3.4K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 20:07 4.1K 
[   ]py3-flask-httpauth-pyc-4.8.0-r3.apk2025-11-29 11:38 11K 
[   ]py3-flask-httpauth-4.8.0-r3.apk2025-11-29 11:38 7.8K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 20:07 2.5K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 20:07 3.2K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 20:07 2.8K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 20:07 3.2K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 22:57 14K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 22:57 11K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 20:07 6.3K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 20:07 86K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 20:07 3.3K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 20:07 3.9K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 20:07 4.1K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 20:07 4.8K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 20:07 18K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 20:07 13K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-14 21:14 11K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-14 21:14 449K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 20:07 5.8K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 20:07 7.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 20:07 4.1K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 20:07 5.3K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 20:07 4.9K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 20:07 5.1K 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 20:07 358K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 20:07 6.5M 
[   ]py3-flask-accept-pyc-0.0.7-r0.apk2025-07-15 08:22 3.7K 
[   ]py3-flask-accept-0.0.7-r0.apk2025-07-15 08:22 5.6K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 20:07 2.2K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 20:07 3.6K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 20:07 3.7K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 20:07 5.3K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 20:07 4.4K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 20:07 6.7K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 20:07 5.7K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 20:07 7.1K 
[   ]py3-flake8-isort-pyc-7.0.0-r0.apk2025-10-25 20:12 5.4K 
[   ]py3-flake8-isort-7.0.0-r0.apk2025-10-25 20:12 18K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 20:07 17K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 20:07 15K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 20:07 6.0K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 20:07 6.2K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 20:07 3.3K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 20:07 18K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:51 7.9K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:51 13K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 20:07 2.6K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 20:07 5.2K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 20:07 21K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 20:07 14K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-14 21:14 33K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-14 21:14 24K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 20:07 27K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 20:07 18K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 20:07 62K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 20:07 40K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 20:07 4.2K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 20:07 38K 
[   ]py3-evohome-client-pyc-0.3.9-r0.apk2025-07-15 08:22 27K 
[   ]py3-evohome-client-0.3.9-r0.apk2025-07-15 08:22 19K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 21:35 336K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 21:35 332K 
[   ]py3-evalidate-pyc-2.1.3-r0.apk2025-12-16 14:54 12K 
[   ]py3-evalidate-2.1.3-r0.apk2025-12-16 14:54 14K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 20:07 33K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 20:07 14K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 20:07 8.3K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 20:07 2.5K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 20:07 7.6K 
[   ]py3-enzyme-pyc-0.5.2-r0.apk2025-07-15 08:22 19K 
[   ]py3-enzyme-0.5.2-r0.apk2025-07-15 08:22 23K 
[   ]py3-enlighten-pyc-1.14.1-r0.apk2025-08-28 09:31 46K 
[   ]py3-enlighten-1.14.1-r0.apk2025-08-28 09:31 37K 
[   ]py3-engineio-pyc-4.12.3-r0.apk2025-11-27 09:35 104K 
[   ]py3-engineio-doc-4.12.3-r0.apk2025-11-27 09:35 33K 
[   ]py3-engineio-4.12.3-r0.apk2025-11-27 09:35 49K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 20:07 58K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 20:07 39K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 20:07 2.0K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 20:07 15K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 20:07 190K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 20:07 123K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 20:07 3.6K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 20:07 27K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-13 23:28 13K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 20:07 6.3K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 20:07 9.1K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 20:07 221K 
[   ]py3-dunamai-pyc-1.25.0-r0.apk2025-07-25 22:23 44K 
[   ]py3-dunamai-1.25.0-r0.apk2025-07-25 22:23 27K 
[   ]py3-drf-yasg-pyc-1.21.10-r0.apk2025-07-15 08:46 98K 
[   ]py3-drf-yasg-1.21.10-r0.apk2025-07-15 08:46 4.0M 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 20:07 18K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 20:07 17K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-14 21:14 14K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-14 21:14 11K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 20:07 8.7K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 20:07 8.4K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 20:07 34K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 20:07 25K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 20:07 133K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 20:07 77K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-12 12:09 4.7K 
[   ]py3-doi-0.2-r0.apk2025-04-12 12:09 6.2K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-14 21:14 90K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-14 21:14 53K 
[   ]py3-dnslib-pyc-0.9.26-r0.apk2025-09-01 18:15 109K 
[   ]py3-dnslib-0.9.26-r0.apk2025-09-01 18:15 56K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 20:07 5.0K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 20:07 4.0K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 20:07 33K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 20:07 366K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 20:07 15K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 20:07 15K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 20:07 49K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 20:07 47K 
[   ]py3-discid-pyc-1.3.0-r0.apk2025-07-28 21:30 13K 
[   ]py3-discid-1.3.0-r0.apk2025-07-28 21:30 13K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 20:07 37K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 20:07 22K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 20:07 20K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 20:07 2.3K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 20:07 13K 
[   ]py3-dbus-fast-pyc-3.1.2-r0.apk2025-11-23 21:15 130K 
[   ]py3-dbus-fast-doc-3.1.2-r0.apk2025-11-23 21:15 6.2K 
[   ]py3-dbus-fast-3.1.2-r0.apk2025-11-23 21:15 765K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 20:07 4.2K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 20:07 4.3K 
[   ]py3-dateparser-pyc-1.2.2-r0.apk2025-07-06 19:46 335K 
[   ]py3-dateparser-1.2.2-r0.apk2025-07-06 19:46 216K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 20:07 14K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 20:07 11K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 20:07 36K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 20:07 27K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-13 10:18 16K 
[   ]py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk2025-11-11 19:23 1.8K 
[   ]py3-cython-test-exception-raiser-25.11.0-r0.apk2025-11-11 19:23 17K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 20:07 936K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 20:07 645K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:13 10K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:13 8.7K 
[   ]py3-cstruct-pyc-6.1-r0.apk2025-08-21 23:07 38K 
[   ]py3-cstruct-6.1-r0.apk2025-08-21 23:07 23K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 20:07 279K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 20:07 155K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-14 21:14 1.9K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-14 21:14 194K 
[   ]py3-croniter-pyc-6.0.0-r0.apk2025-08-26 12:09 26K 
[   ]py3-croniter-6.0.0-r0.apk2025-08-26 12:09 26K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 20:07 15K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 20:07 40K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 20:07 4.7K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 20:07 12K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 20:07 43K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 20:07 22K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 20:07 47K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 20:07 3.7K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 20:07 35K 
[   ]py3-confusable-homoglyphs-pyc-3.3.1-r0.apk2025-09-26 00:43 9.4K 
[   ]py3-confusable-homoglyphs-3.3.1-r0.apk2025-09-26 00:43 137K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 20:07 40K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 20:07 3.0K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 20:07 23K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 20:07 7.5K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 20:07 8.5K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 20:07 10K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 20:07 7.3K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 20:07 42K 
[   ]py3-colander-2.0-r2.apk2024-10-25 20:07 62K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 20:07 12K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 20:07 16K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 20:07 223K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 20:07 139K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 20:07 9.8K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 20:07 7.9K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 20:07 7.9K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 20:07 6.3K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 20:07 4.5K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 20:07 5.1K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 20:07 14K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 20:07 11K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 20:07 8.7K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 20:07 6.1K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-14 21:14 5.3K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-14 21:14 4.5K 
[   ]py3-ciso8601-2.3.3-r0.apk2025-11-10 17:32 17K 
[   ]py3-chameleon-pyc-4.6.0-r0.apk2025-07-06 09:16 131K 
[   ]py3-chameleon-4.6.0-r0.apk2025-07-06 09:16 97K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 20:07 9.1K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 20:07 8.7K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-25 07:04 43K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-25 07:04 99K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 20:07 3.1K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 20:07 121K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 20:07 103K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 20:07 57K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 20:07 560K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 20:07 286K 
[   ]py3-caldav-pyc-2.2.3-r0.apk2025-12-07 19:13 154K 
[   ]py3-caldav-2.2.3-r0.apk2025-12-07 19:13 113K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 20:07 54K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 20:07 32K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 20:07 16K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 20:07 11K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 20:07 19K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 20:07 12K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 20:07 4.3K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 20:07 4.1K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 20:07 3.1K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 20:07 4.6K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 20:07 5.2K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 20:07 4.7K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 20:07 5.6K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 20:07 4.8K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 20:07 7.8K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 20:07 10K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 20:07 5.2K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 20:07 6.1K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 20:07 2.6K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 20:07 3.2K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 20:07 3.7K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 20:07 4.0K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 20:07 3.1K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 20:07 3.3K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 20:07 4.3K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 20:07 5.2K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 20:07 4.9K 
[   ]py3-bookkeeper-pyc-4.17.2-r0.apk2025-07-15 08:22 67K 
[   ]py3-bookkeeper-4.17.2-r0.apk2025-07-15 08:22 43K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 12:11 2.5M 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 12:11 149K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 12:11 68K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 20:07 18K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 20:07 11K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 20:07 370K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 20:07 13K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 20:07 34K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:51 23K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:51 14K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 20:07 29K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 20:07 28K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-25 07:04 49K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-25 07:04 40K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 20:07 10K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 20:07 17K 
[   ]py3-beartype-pyc-0.22.9-r0.apk2025-12-15 18:33 744K 
[   ]py3-beartype-0.22.9-r0.apk2025-12-15 18:33 1.0M 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 20:07 4.3K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 20:07 16K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 20:07 69K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 20:07 46K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 20:07 7.2K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 20:07 6.9K 
[   ]py3-b2sdk-pyc-2.10.2-r0.apk2025-12-16 18:41 429K 
[   ]py3-b2sdk-2.10.2-r0.apk2025-12-16 18:41 227K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 20:07 191K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 20:07 98K 
[   ]py3-asysocks-pyc-0.2.18-r0.apk2025-11-03 06:49 320K 
[   ]py3-asysocks-examples-0.2.18-r0.apk2025-11-03 06:49 36K 
[   ]py3-asysocks-0.2.18-r0.apk2025-11-03 06:49 88K 
[   ]py3-asyauth-pyc-0.0.23-r0.apk2025-11-03 06:49 186K 
[   ]py3-asyauth-0.0.23-r0.apk2025-11-03 06:49 84K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 20:07 59K 
[   ]py3-astral-3.2-r3.apk2024-10-25 20:07 37K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 20:07 4.5K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 20:07 5.0K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 20:07 26K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 20:07 13K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-12 12:08 74K 
[   ]py3-apt-pyc-3.0.0-r0.apk2025-12-10 10:25 119K 
[   ]py3-apt-lang-3.0.0-r0.apk2025-12-10 10:25 80K 
[   ]py3-apt-3.0.0-r0.apk2025-12-10 10:25 168K 
[   ]py3-apsw-pyc-3.51.1.0-r0.apk2025-11-30 18:51 586K 
[   ]py3-apsw-3.51.1.0-r0.apk2025-11-30 18:51 929K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 20:07 77K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 20:07 72K 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 20:07 179K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 20:07 8.5M 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 20:07 3.3K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 20:07 275K 
[   ]py3-ansible-pylibssh-1.2.2-r0.apk2025-07-24 01:27 243K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 20:07 29K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 20:07 21K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 20:07 3.3K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 20:07 3.6K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 20:07 673K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 20:07 18K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 20:07 388K 
[   ]py3-aiowinreg-pyc-0.0.13-r0.apk2025-11-03 06:49 44K 
[   ]py3-aiowinreg-0.0.13-r0.apk2025-11-03 06:49 22K 
[   ]py3-aiosmb-pyc-0.4.14-r0.apk2025-11-03 06:49 1.2M 
[   ]py3-aiosmb-examples-0.4.14-r0.apk2025-11-03 06:49 38K 
[   ]py3-aiosmb-0.4.14-r0.apk2025-11-03 06:49 585K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 20:07 24K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 20:07 16K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 20:07 30K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 20:07 19K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 20:07 21K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 20:07 15K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 20:07 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 10K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 20:07 9.2K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 20:07 12K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 20:07 51K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 20:07 446K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 20:07 60K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 20:07 29K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-19 11:44 21K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-19 11:44 19K 
[   ]py3-aesedb-pyc-0.1.8-r0.apk2025-11-03 06:49 75K 
[   ]py3-aesedb-examples-0.1.8-r0.apk2025-11-03 06:49 3.4K 
[   ]py3-aesedb-0.1.8-r0.apk2025-11-03 06:49 34K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 20:07 21K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 20:07 17K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 20:07 2.9K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 20:07 2.9K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 20:07 6.8K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 20:07 4.2K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 20:07 325K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 20:07 194K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 20:07 69K 
[   ]pure-doc-1.23.0-r0.apk2025-10-20 13:57 7.9K 
[   ]pure-1.23.0-r0.apk2025-10-20 13:57 18K 
[   ]punch-pyc-0.1.1-r0.apk2025-12-10 10:25 31K 
[   ]punch-0.1.1-r0.apk2025-12-10 10:25 30K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 20:07 3.7K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 20:07 870K 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-12 12:08 64K 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-12 12:08 1.2M 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 20:07 1.7K 
[   ]pully-1.0.0-r0.apk2024-10-25 20:07 2.5K 
[   ]pug-0.6.5-r0.apk2025-12-04 13:54 3.5M 
[   ]ptylie-doc-0.2-r2.apk2025-05-14 21:14 3.2K 
[   ]ptylie-0.2-r2.apk2025-05-14 21:14 11K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 20:07 2.4K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 20:07 20K 
[   ]ptpd-2.3.1-r1.apk2024-10-25 20:07 184K 
[   ]ptouch-print-doc-1.7-r0.apk2025-09-13 13:07 3.1K 
[   ]ptouch-print-1.7-r0.apk2025-09-13 13:07 27K 
[   ]psst-0_git20240526-r1.apk2024-10-25 20:07 7.5M 
[   ]pspp-doc-2.0.1-r1.apk2025-10-07 21:03 9.0K 
[   ]pspp-dbg-2.0.1-r1.apk2025-10-07 21:03 4.3M 
[   ]pspp-2.0.1-r1.apk2025-10-07 21:03 9.2M 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 20:07 12K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 20:07 60K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 20:07 42K 
[   ]psftools-1.1.2-r0.apk2024-10-25 20:07 198K 
[   ]protoconf-0.1.7-r18.apk2025-12-04 13:54 7.5M 
[   ]protoc-gen-go-1.36.10-r1.apk2025-12-04 13:54 2.2M 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 20:07 1.5K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 20:07 2.6K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 20:07 2.7K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 20:07 8.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 20:07 2.8K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 20:07 1.6K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 20:07 1.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 20:07 2.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 20:07 104K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 20:07 3.7K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 20:07 6.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 20:07 5.6K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 20:07 5.9K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 20:07 2.2K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 20:07 1.8K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 20:07 2.9K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 20:07 2.8K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 20:07 3.3K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 20:07 7.2K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 20:07 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 20:07 3.1K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 20:07 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 20:07 2.6K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 20:07 1.8K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 20:07 2.8K 
[   ]proot-static-5.4.0-r1.apk2024-10-25 20:07 106K 
[   ]proot-doc-5.4.0-r1.apk2024-10-25 20:07 10K 
[   ]proot-5.4.0-r1.apk2024-10-25 20:07 67K 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-14 21:14 2.0K 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-14 21:14 3.6M 
[   ]prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk2025-12-04 13:54 2.0K 
[   ]prometheus-smtp2go-exporter-0.1.1-r4.apk2025-12-04 13:54 3.4M 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r5.apk2025-12-04 13:54 1.9K 
[   ]prometheus-smartctl-exporter-0.14.0-r5.apk2025-12-04 13:54 4.6M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk2025-12-04 13:54 1.7K 
[   ]prometheus-rethinkdb-exporter-1.0.1-r33.apk2025-12-04 13:54 4.2M 
[   ]prometheus-podman-exporter-1.18.1-r2.apk2025-12-04 13:54 15M 
[   ]prometheus-opnsense-exporter-openrc-0.0.11-r2.apk2025-12-04 13:54 2.1K 
[   ]prometheus-opnsense-exporter-0.0.11-r2.apk2025-12-04 13:54 4.8M 
[   ]prometheus-fastly-exporter-10.1.0-r0.apk2025-12-12 14:58 4.7M 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 20:07 5.1K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 20:07 308K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 20:07 2.0K 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 20:07 395K 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 20:07 4.3M 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 20:07 662K 
[   ]projectm-3.1.12-r2.apk2024-10-25 20:07 423K 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 20:07 748K 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 20:07 1.1M 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 20:07 1.0M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 20:07 39K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 20:07 2.1M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 20:07 3.3K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 20:07 1.2M 
[   ]primesieve-libs-12.10-r0.apk2025-11-25 19:03 120K 
[   ]primesieve-doc-12.10-r0.apk2025-11-25 19:03 4.1K 
[   ]primesieve-dev-12.10-r0.apk2025-11-25 19:03 1.3M 
[   ]primesieve-12.10-r0.apk2025-11-25 19:03 44K 
[   ]primecount-libs-7.20-r0.apk2025-11-25 19:03 130K 
[   ]primecount-doc-7.20-r0.apk2025-11-25 19:03 4.2K 
[   ]primecount-dev-7.20-r0.apk2025-11-25 19:03 1.9M 
[   ]primecount-7.20-r0.apk2025-11-25 19:03 28K 
[   ]predict-doc-2.3.1-r0.apk2024-11-23 18:59 16K 
[   ]predict-2.3.1-r0.apk2024-11-23 18:59 91K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 20:07 12K 
[   ]pqiv-2.12-r1.apk2024-10-25 20:07 63K 
[   ]pptpclient-doc-1.10.0-r6.apk2025-06-30 07:14 7.2K 
[   ]pptpclient-1.10.0-r6.apk2025-06-30 07:14 33K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 20:07 4.2K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 20:07 2.3K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 20:07 20K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 20:07 814K 
[   ]pounce-openrc-3.1-r4.apk2025-09-13 01:00 2.7K 
[   ]pounce-doc-3.1-r4.apk2025-09-13 01:00 8.5K 
[   ]pounce-3.1-r4.apk2025-09-13 01:00 28K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 20:07 70K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 20:07 272K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk2025-11-03 16:12 47K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r1.apk2025-11-03 16:12 22K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 20:07 635K 
[   ]portsmf-dev-239-r2.apk2025-10-13 20:02 20K 
[   ]portsmf-239-r2.apk2025-10-13 20:02 52K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 14:56 2.7K 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 14:56 2.2K 
[   ]porla-0.41.0-r2.apk2025-02-24 14:56 3.3M 
[   ]popeye-0.22.1-r9.apk2025-12-04 13:54 27M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 07:55 1.3M 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 07:55 13M 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 20:07 2.4K 
[   ]pomo-doc-0.8.1-r28.apk2025-12-04 13:54 2.7K 
[   ]pomo-0.8.1-r28.apk2025-12-04 13:54 1.6M 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 20:07 105K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 20:07 22K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 20:07 2.4K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 20:07 53K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 20:07 3.0K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 20:07 8.7K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 20:07 25K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 20:07 2.3K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 20:07 139K 
[   ]pneink-theme-doc-1.3-r0.apk2025-07-30 00:20 1.7K 
[   ]pneink-theme-1.3-r0.apk2025-07-30 00:20 9.8K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 20:07 7.1K 
[   ]pmccabe-2.8-r1.apk2024-10-25 20:07 25K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 20:07 190K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 20:07 311K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 20:07 59K 
[   ]plplot-5.15.0-r2.apk2024-10-25 20:07 31K 
[   ]plots-0.7.0-r1.apk2024-11-06 09:42 516K 
[   ]plib-1.8.5-r3.apk2024-10-25 20:07 870K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:47 31K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:47 27K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:47 6.5K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:47 38K 
[   ]please-doc-0.5.6-r0.apk2025-08-29 08:10 16K 
[   ]please-0.5.6-r0.apk2025-08-29 08:10 1.0M 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 21:40 825K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 21:40 2.2K 
[   ]planner-0.14.92-r1.apk2024-12-08 21:40 332K 
[   ]planarity-libs-4.0.1.0-r0.apk2025-10-19 05:35 63K 
[   ]planarity-doc-4.0.1.0-r0.apk2025-10-19 05:35 13K 
[   ]planarity-dev-4.0.1.0-r0.apk2025-10-19 05:35 23K 
[   ]planarity-4.0.1.0-r0.apk2025-10-19 05:35 23K 
[   ]plakar-doc-1.0.6-r1.apk2025-12-04 13:54 2.1K 
[   ]plakar-1.0.6-r1.apk2025-12-04 13:54 19M 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-14 21:14 3.4K 
[   ]pixiewps-1.4.2-r2.apk2025-05-14 21:14 50K 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-22 22:06 700K 
[   ]pitivi-lang-2023.03-r2.apk2024-12-22 22:06 678K 
[   ]pitivi-2023.03-r2.apk2024-12-22 22:06 2.7M 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 20:07 154K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 20:07 2.1K 
[   ]pithos-1.6.1-r0.apk2024-10-25 20:07 104K 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-25 20:07 1.8K 
[   ]piping-server-0.18.0-r0.apk2024-10-25 20:07 1.3M 
[   ]piper-tts-dev-2023.11.14.2-r14.apk2025-07-15 08:22 141K 
[   ]piper-tts-2023.11.14.2-r14.apk2025-07-15 08:22 175K 
[   ]piper-phonemize-libs-2023.11.14.4-r9.apk2025-07-15 08:22 71K 
[   ]piper-phonemize-dev-2023.11.14.4-r9.apk2025-07-15 08:22 394K 
[   ]piper-phonemize-2023.11.14.4-r9.apk2025-07-15 08:22 9.0M 
[   ]pinentry-bemenu-0.14.0-r1.apk2025-07-06 00:13 8.2K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 20:07 1.6K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 20:07 35K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 20:07 1.9K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 20:07 20K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 20:07 51K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 20:07 84K 
[   ]pikchr-cmd-doc-1.0.0-r0.apk2025-10-25 09:13 2.2K 
[   ]pikchr-cmd-1.0.0-r0.apk2025-10-25 09:13 41K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 18:05 1.8K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 18:05 3.8K 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 18:05 2.2K 
[   ]pihole-6.2.3-r0.apk2025-06-20 18:05 5.6M 
[   ]pigpio-openrc-79-r4.apk2024-10-25 20:07 1.6K 
[   ]pigpio-doc-79-r4.apk2024-10-25 20:07 115K 
[   ]pigpio-dev-79-r4.apk2024-10-25 20:07 91K 
[   ]pigpio-79-r4.apk2024-10-25 20:07 214K 
[   ]piglit-0_git20241106-r1.apk2025-05-14 21:14 88M 
[   ]pidif-0.1-r1.apk2024-10-25 20:07 165K 
[   ]pict-rs-openrc-0.5.19-r1.apk2025-10-14 13:46 1.9K 
[   ]pict-rs-0.5.19-r1.apk2025-10-14 13:46 6.8M 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 20:07 3.3K 
[   ]pick-4.0.0-r0.apk2024-10-25 20:07 9.9K 
[   ]phpactor-2025.10.17.0-r0.apk2025-11-19 03:57 3.6M 
[   ]php85-pecl-vld-0.19.1-r1.apk2025-09-24 13:21 15K 
[   ]php85-pecl-solr-2.9.1-r0.apk2025-11-25 05:10 89K 
[   ]php85-pecl-oauth-2.0.10-r0.apk2025-10-09 18:37 34K 
[   ]php85-pecl-ev-1.2.2-r0.apk2025-11-07 17:25 38K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-09 18:19 5.0K 
[   ]php84-pecl-vld-0.19.1-r0.apk2025-07-24 01:27 15K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 51K 
[   ]php84-pecl-solr-2.9.1-r0.apk2025-11-25 05:10 89K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-14 21:14 39K 
[   ]php84-pecl-oauth-2.0.10-r0.apk2025-10-09 18:37 36K 
[   ]php84-pecl-mcrypt-1.0.9-r0.apk2025-08-05 12:42 15K 
[   ]php84-pecl-ev-1.2.2-r0.apk2025-11-07 17:25 38K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 9.9K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 20:07 30K 
[   ]php83-pecl-vld-0.19.1-r0.apk2025-07-24 01:27 15K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 20:07 51K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-14 21:14 39K 
[   ]php83-pecl-oauth-2.0.10-r0.apk2025-10-09 18:37 36K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:07 9.9K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 20K 
[   ]php83-pecl-ev-1.2.2-r0.apk2025-11-07 17:25 38K 
[   ]php83-pecl-eio-3.1.4-r0.apk2025-07-24 01:27 28K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 20:07 4.4K 
[   ]php82-zip-8.2.30-r1.apk2025-12-17 20:06 26K 
[   ]php82-xsl-8.2.30-r1.apk2025-12-17 20:06 12K 
[   ]php82-xmlwriter-8.2.30-r1.apk2025-12-17 20:06 11K 
[   ]php82-xmlreader-8.2.30-r1.apk2025-12-17 20:06 13K 
[   ]php82-xml-8.2.30-r1.apk2025-12-17 20:06 18K 
[   ]php82-tokenizer-8.2.30-r1.apk2025-12-17 20:06 12K 
[   ]php82-tidy-8.2.30-r1.apk2025-12-17 20:06 18K 
[   ]php82-sysvshm-8.2.30-r1.apk2025-12-17 20:06 6.4K 
[   ]php82-sysvsem-8.2.30-r1.apk2025-12-17 20:06 5.5K 
[   ]php82-sysvmsg-8.2.30-r1.apk2025-12-17 20:06 7.4K 
[   ]php82-sqlite3-8.2.30-r1.apk2025-12-17 20:06 20K 
[   ]php82-sodium-8.2.30-r1.apk2025-12-17 20:06 27K 
[   ]php82-sockets-8.2.30-r1.apk2025-12-17 20:06 35K 
[   ]php82-soap-8.2.30-r1.apk2025-12-17 20:06 129K 
[   ]php82-snmp-8.2.30-r1.apk2025-12-17 20:06 20K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-09 18:19 5.1K 
[   ]php82-simplexml-8.2.30-r1.apk2025-12-17 20:06 21K 
[   ]php82-shmop-8.2.30-r1.apk2025-12-17 20:06 5.8K 
[   ]php82-session-8.2.30-r1.apk2025-12-17 20:06 35K 
[   ]php82-pspell-8.2.30-r1.apk2025-12-17 20:06 7.8K 
[   ]php82-posix-8.2.30-r1.apk2025-12-17 20:06 10K 
[   ]php82-phpdbg-8.2.30-r1.apk2025-12-17 20:06 1.8M 
[   ]php82-phar-8.2.30-r1.apk2025-12-17 20:06 118K 
[   ]php82-pgsql-8.2.30-r1.apk2025-12-17 20:06 43K 
[   ]php82-pecl-zstd-0.15.2-r0.apk2025-10-24 02:07 16K 
[   ]php82-pecl-zephir_parser-1.8.0-r0.apk2025-09-29 12:34 65K 
[   ]php82-pecl-yaml-2.3.0-r0.apk2025-11-12 17:55 18K 
[   ]php82-pecl-xlswriter-1.5.8-r0.apk2025-10-24 02:07 219K 
[   ]php82-pecl-xhprof-assets-2.3.10-r0.apk2025-10-24 02:07 800K 
[   ]php82-pecl-xhprof-2.3.10-r0.apk2025-10-24 02:07 12K 
[   ]php82-pecl-xdebug-3.5.0-r0.apk2025-12-04 22:56 153K 
[   ]php82-pecl-vld-0.19.1-r0.apk2025-07-24 01:27 15K 
[   ]php82-pecl-vips-1.0.13-r0.apk2025-10-24 02:07 16K 
[   ]php82-pecl-uuid-1.3.0-r0.apk2025-10-24 02:07 6.5K 
[   ]php82-pecl-uploadprogress-doc-2.0.2-r2.apk2025-10-24 02:07 9.6K 
[   ]php82-pecl-uploadprogress-2.0.2-r2.apk2025-10-24 02:07 6.8K 
[   ]php82-pecl-timezonedb-2025.2-r0.apk2025-10-24 02:07 191K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 20:07 111K 
[   ]php82-pecl-ssh2-1.4.1-r0.apk2025-10-24 02:07 27K 
[   ]php82-pecl-smbclient-1.2.0_pre-r0.apk2025-10-24 02:07 20K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 20:07 29K 
[   ]php82-pecl-redis-6.3.0-r0.apk2025-11-07 16:49 185K 
[   ]php82-pecl-rdkafka-6.0.5-r0.apk2025-10-24 02:07 36K 
[   ]php82-pecl-psr-1.2.0-r1.apk2025-10-24 02:07 17K 
[   ]php82-pecl-protobuf-4.32.1-r0.apk2025-10-24 02:07 142K 
[   ]php82-pecl-pcov-1.0.12-r0.apk2025-10-24 02:07 9.4K 
[   ]php82-pecl-opentelemetry-1.2.1-r0.apk2025-10-24 02:07 12K 
[   ]php82-pecl-oauth-2.0.10-r0.apk2025-10-09 18:37 36K 
[   ]php82-pecl-msgpack-3.0.0-r0.apk2025-10-24 02:07 27K 
[   ]php82-pecl-mongodb-2.1.4-r0.apk2025-10-24 02:07 837K 
[   ]php82-pecl-memcached-3.4.0-r0.apk2025-10-24 02:07 46K 
[   ]php82-pecl-memcache-8.2-r2.apk2025-10-24 02:07 43K 
[   ]php82-pecl-mcrypt-1.0.9-r0.apk2025-10-24 02:07 15K 
[   ]php82-pecl-maxminddb-1.13.0-r0.apk2025-11-21 00:42 8.2K 
[   ]php82-pecl-mailparse-3.1.9-r0.apk2025-10-24 02:07 22K 
[   ]php82-pecl-lzf-1.7.0-r0.apk2025-10-24 02:07 6.8K 
[   ]php82-pecl-luasandbox-4.1.2-r0.apk2025-10-24 02:07 29K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:07 9.9K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 20:07 38K 
[   ]php82-pecl-imagick-dev-3.8.1-r0.apk2025-11-28 00:07 2.3K 
[   ]php82-pecl-imagick-3.8.1-r0.apk2025-11-28 00:07 105K 
[   ]php82-pecl-igbinary-3.2.17_rc1-r0.apk2025-11-27 21:26 29K 
[   ]php82-pecl-grpc-1.76.0-r0.apk2025-10-24 19:25 4.2M 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 20K 
[   ]php82-pecl-event-3.1.4-r0.apk2025-10-24 02:07 48K 
[   ]php82-pecl-ds-1.6.0-r0.apk2025-10-24 02:07 51K 
[   ]php82-pecl-decimal-1.5.0-r1.apk2025-10-24 02:07 18K 
[   ]php82-pecl-couchbase-4.3.0-r0.apk2025-10-24 01:33 4.5M 
[   ]php82-pecl-brotli-0.18.3-r0.apk2025-12-01 02:45 14K 
[   ]php82-pecl-ast-1.1.3-r0.apk2025-10-24 02:07 21K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 20:07 4.4K 
[   ]php82-pecl-apcu-5.1.28-r0.apk2025-12-07 15:54 54K 
[   ]php82-pecl-amqp-2.1.2-r0.apk2025-10-24 02:07 59K 
[   ]php82-pear-8.2.30-r1.apk2025-12-17 20:06 338K 
[   ]php82-pdo_sqlite-8.2.30-r1.apk2025-12-17 20:06 12K 
[   ]php82-pdo_pgsql-8.2.30-r1.apk2025-12-17 20:06 18K 
[   ]php82-pdo_odbc-8.2.30-r1.apk2025-12-17 20:06 12K 
[   ]php82-pdo_mysql-8.2.30-r1.apk2025-12-17 20:06 12K 
[   ]php82-pdo_dblib-8.2.30-r1.apk2025-12-17 20:06 11K 
[   ]php82-pdo-8.2.30-r1.apk2025-12-17 20:06 40K 
[   ]php82-pdlib-1.1.0-r1.apk2024-10-25 20:07 490K 
[   ]php82-pcntl-8.2.30-r1.apk2025-12-17 20:06 14K 
[   ]php82-openssl-8.2.30-r1.apk2025-12-17 20:06 71K 
[   ]php82-opcache-8.2.30-r1.apk2025-12-17 20:06 69K 
[   ]php82-odbc-8.2.30-r1.apk2025-12-17 20:06 23K 
[   ]php82-mysqlnd-8.2.30-r1.apk2025-12-17 20:06 75K 
[   ]php82-mysqli-8.2.30-r1.apk2025-12-17 20:06 41K 
[   ]php82-mbstring-8.2.30-r1.apk2025-12-17 20:06 623K 
[   ]php82-litespeed-8.2.30-r1.apk2025-12-17 20:06 1.7M 
[   ]php82-ldap-8.2.30-r1.apk2025-12-17 20:06 30K 
[   ]php82-intl-8.2.30-r1.apk2025-12-17 20:06 148K 
[   ]php82-imap-8.2.30-r1.apk2025-12-17 20:06 32K 
[   ]php82-iconv-8.2.30-r1.apk2025-12-17 20:06 17K 
[   ]php82-gmp-8.2.30-r1.apk2025-12-17 20:06 20K 
[   ]php82-gettext-8.2.30-r1.apk2025-12-17 20:06 5.6K 
[   ]php82-gd-8.2.30-r1.apk2025-12-17 20:06 116K 
[   ]php82-ftp-8.2.30-r1.apk2025-12-17 20:06 22K 
[   ]php82-fpm-8.2.30-r1.apk2025-12-17 20:06 1.8M 
[   ]php82-fileinfo-8.2.30-r1.apk2025-12-17 20:06 376K 
[   ]php82-ffi-8.2.30-r1.apk2025-12-17 20:06 65K 
[   ]php82-exif-8.2.30-r1.apk2025-12-17 20:06 31K 
[   ]php82-enchant-8.2.30-r1.apk2025-12-17 20:06 8.0K 
[   ]php82-embed-8.2.30-r1.apk2025-12-17 20:06 1.7M 
[   ]php82-dom-8.2.30-r1.apk2025-12-17 20:06 58K 
[   ]php82-doc-8.2.30-r1.apk2025-12-17 20:06 73K 
[   ]php82-dev-8.2.30-r1.apk2025-12-17 20:06 968K 
[   ]php82-dbg-8.2.30-r1.apk2025-12-17 20:06 43M 
[   ]php82-dba-8.2.30-r1.apk2025-12-17 20:06 21K 
[   ]php82-curl-8.2.30-r1.apk2025-12-17 20:06 38K 
[   ]php82-ctype-8.2.30-r1.apk2025-12-17 20:06 4.5K 
[   ]php82-common-8.2.30-r1.apk2025-12-17 20:06 25K 
[   ]php82-cgi-8.2.30-r1.apk2025-12-17 20:06 1.7M 
[   ]php82-calendar-8.2.30-r1.apk2025-12-17 20:06 13K 
[   ]php82-bz2-8.2.30-r1.apk2025-12-17 20:06 9.1K 
[   ]php82-bcmath-8.2.30-r1.apk2025-12-17 20:06 14K 
[   ]php82-apache2-8.2.30-r1.apk2025-12-17 20:06 1.7M 
[   ]php82-8.2.30-r1.apk2025-12-17 20:06 1.8M 
[   ]php81-zip-8.1.34-r0.apk2025-12-17 02:26 24K 
[   ]php81-xsl-8.1.34-r0.apk2025-12-17 02:26 12K 
[   ]php81-xmlwriter-8.1.34-r0.apk2025-12-17 02:26 11K 
[   ]php81-xmlreader-8.1.34-r0.apk2025-12-17 02:26 12K 
[   ]php81-xml-8.1.34-r0.apk2025-12-17 02:26 18K 
[   ]php81-tokenizer-8.1.34-r0.apk2025-12-17 02:26 12K 
[   ]php81-tidy-8.1.34-r0.apk2025-12-17 02:26 18K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 20:07 13K 
[   ]php81-sysvshm-8.1.34-r0.apk2025-12-17 02:26 6.3K 
[   ]php81-sysvsem-8.1.34-r0.apk2025-12-17 02:26 5.5K 
[   ]php81-sysvmsg-8.1.34-r0.apk2025-12-17 02:26 7.2K 
[   ]php81-sqlite3-8.1.34-r0.apk2025-12-17 02:26 19K 
[   ]php81-sodium-8.1.34-r0.apk2025-12-17 02:26 26K 
[   ]php81-sockets-8.1.34-r0.apk2025-12-17 02:26 34K 
[   ]php81-soap-8.1.34-r0.apk2025-12-17 02:26 128K 
[   ]php81-snmp-8.1.34-r0.apk2025-12-17 02:26 19K 
[   ]php81-simplexml-8.1.34-r0.apk2025-12-17 02:26 21K 
[   ]php81-shmop-8.1.34-r0.apk2025-12-17 02:26 5.8K 
[   ]php81-session-8.1.34-r0.apk2025-12-17 02:26 35K 
[   ]php81-pspell-8.1.34-r0.apk2025-12-17 02:26 7.8K 
[   ]php81-posix-8.1.34-r0.apk2025-12-17 02:26 10K 
[   ]php81-phpdbg-8.1.34-r0.apk2025-12-17 02:26 1.7M 
[   ]php81-phar-8.1.34-r0.apk2025-12-17 02:26 118K 
[   ]php81-pgsql-8.1.34-r0.apk2025-12-17 02:26 43K 
[   ]php81-pecl-zstd-0.15.2-r0.apk2025-09-09 17:40 16K 
[   ]php81-pecl-zephir_parser-1.8.0-r0.apk2025-09-29 12:34 65K 
[   ]php81-pecl-yaml-2.3.0-r0.apk2025-11-12 17:55 18K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 20:07 33K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:44 220K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 20:07 801K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 20:07 12K 
[   ]php81-pecl-xdebug-3.5.0-r0.apk2025-12-04 22:56 153K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-14 21:14 6.5K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 20:07 9.9K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 20:07 6.8K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 11:06 191K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 20:07 28K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 19:01 20K 
[   ]php81-pecl-redis-6.3.0-r0.apk2025-11-07 16:49 183K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:51 36K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 20:07 18K 
[   ]php81-pecl-protobuf-4.32.1-r0.apk2025-10-01 01:59 142K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 17:17 9.2K 
[   ]php81-pecl-opentelemetry-1.2.1-r0.apk2025-10-07 21:03 12K 
[   ]php81-pecl-oauth-2.0.10-r0.apk2025-10-09 18:37 36K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 20:07 27K 
[   ]php81-pecl-mongodb-2.1.4-r0.apk2025-10-08 22:16 837K 
[   ]php81-pecl-memcached-3.4.0-r0.apk2025-10-13 08:36 46K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 20:07 42K 
[   ]php81-pecl-mcrypt-1.0.9-r0.apk2025-08-05 12:42 15K 
[   ]php81-pecl-maxminddb-1.13.0-r0.apk2025-11-21 00:42 8.2K 
[   ]php81-pecl-mailparse-3.1.9-r0.apk2025-09-30 12:38 22K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 20:07 6.8K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 20:07 29K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:07 9.9K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 20:07 37K 
[   ]php81-pecl-imagick-dev-3.8.1-r0.apk2025-11-28 00:07 2.3K 
[   ]php81-pecl-imagick-3.8.1-r0.apk2025-11-28 00:07 105K 
[   ]php81-pecl-igbinary-3.2.17_rc1-r0.apk2025-11-27 21:26 29K 
[   ]php81-pecl-grpc-1.76.0-r0.apk2025-10-24 19:25 4.2M 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 20:07 48K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-14 21:14 51K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 20:07 18K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 9.9K 
[   ]php81-pecl-couchbase-4.3.0-r0.apk2025-06-15 04:01 4.5M 
[   ]php81-pecl-brotli-0.18.3-r0.apk2025-12-01 02:45 14K 
[   ]php81-pecl-ast-1.1.3-r0.apk2025-08-10 17:43 21K 
[   ]php81-pecl-apcu-5.1.28-r0.apk2025-12-07 15:54 54K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 20:07 59K 
[   ]php81-pear-8.1.34-r0.apk2025-12-17 02:26 338K 
[   ]php81-pdo_sqlite-8.1.34-r0.apk2025-12-17 02:26 12K 
[   ]php81-pdo_pgsql-8.1.34-r0.apk2025-12-17 02:26 18K 
[   ]php81-pdo_odbc-8.1.34-r0.apk2025-12-17 02:26 12K 
[   ]php81-pdo_mysql-8.1.34-r0.apk2025-12-17 02:26 12K 
[   ]php81-pdo_dblib-8.1.34-r0.apk2025-12-17 02:26 11K 
[   ]php81-pdo-8.1.34-r0.apk2025-12-17 02:26 39K 
[   ]php81-pcntl-8.1.34-r0.apk2025-12-17 02:26 13K 
[   ]php81-openssl-8.1.34-r0.apk2025-12-17 02:26 69K 
[   ]php81-opcache-8.1.34-r0.apk2025-12-17 02:26 65K 
[   ]php81-odbc-8.1.34-r0.apk2025-12-17 02:26 22K 
[   ]php81-mysqlnd-8.1.34-r0.apk2025-12-17 02:26 75K 
[   ]php81-mysqli-8.1.34-r0.apk2025-12-17 02:26 40K 
[   ]php81-mbstring-8.1.34-r0.apk2025-12-17 02:26 563K 
[   ]php81-litespeed-8.1.34-r0.apk2025-12-17 02:26 1.7M 
[   ]php81-ldap-8.1.34-r0.apk2025-12-17 02:26 30K 
[   ]php81-intl-8.1.34-r0.apk2025-12-17 02:26 132K 
[   ]php81-imap-8.1.34-r0.apk2025-12-17 02:26 32K 
[   ]php81-iconv-8.1.34-r0.apk2025-12-17 02:26 17K 
[   ]php81-gmp-8.1.34-r0.apk2025-12-17 02:26 20K 
[   ]php81-gettext-8.1.34-r0.apk2025-12-17 02:26 5.6K 
[   ]php81-gd-8.1.34-r0.apk2025-12-17 02:26 115K 
[   ]php81-ftp-8.1.34-r0.apk2025-12-17 02:26 21K 
[   ]php81-fpm-8.1.34-r0.apk2025-12-17 02:26 1.8M 
[   ]php81-fileinfo-8.1.34-r0.apk2025-12-17 02:26 376K 
[   ]php81-ffi-8.1.34-r0.apk2025-12-17 02:26 64K 
[   ]php81-exif-8.1.34-r0.apk2025-12-17 02:26 31K 
[   ]php81-enchant-8.1.34-r0.apk2025-12-17 02:26 8.1K 
[   ]php81-embed-8.1.34-r0.apk2025-12-17 02:26 1.7M 
[   ]php81-dom-8.1.34-r0.apk2025-12-17 02:26 57K 
[   ]php81-doc-8.1.34-r0.apk2025-12-17 02:26 68K 
[   ]php81-dev-8.1.34-r0.apk2025-12-17 02:26 939K 
[   ]php81-dba-8.1.34-r0.apk2025-12-17 02:26 21K 
[   ]php81-curl-8.1.34-r0.apk2025-12-17 02:26 36K 
[   ]php81-ctype-8.1.34-r0.apk2025-12-17 02:26 4.6K 
[   ]php81-common-8.1.34-r0.apk2025-12-17 02:26 25K 
[   ]php81-cgi-8.1.34-r0.apk2025-12-17 02:26 1.7M 
[   ]php81-calendar-8.1.34-r0.apk2025-12-17 02:26 13K 
[   ]php81-bz2-8.1.34-r0.apk2025-12-17 02:26 9.1K 
[   ]php81-bcmath-8.1.34-r0.apk2025-12-17 02:26 14K 
[   ]php81-apache2-8.1.34-r0.apk2025-12-17 02:26 1.7M 
[   ]php81-8.1.34-r0.apk2025-12-17 02:26 1.7M 
[   ]phosh-tour-systemd-0.50.0-r2.apk2025-12-15 13:14 1.8K 
[   ]phosh-tour-lang-0.50.0-r2.apk2025-12-15 13:14 33K 
[   ]phosh-tour-0.50.0-r2.apk2025-12-15 13:14 38K 
[   ]phosh-osk-data-uk-0.42.0-r0.apk2025-12-16 16:45 16M 
[   ]phosh-osk-data-se-0.42.0-r0.apk2025-12-16 16:45 15M 
[   ]phosh-osk-data-ru-0.42.0-r0.apk2025-12-16 16:45 20M 
[   ]phosh-osk-data-pt-0.42.0-r0.apk2025-12-16 16:45 57M 
[   ]phosh-osk-data-pl-0.42.0-r0.apk2025-12-16 16:45 57M 
[   ]phosh-osk-data-nl-0.42.0-r0.apk2025-12-16 16:45 54M 
[   ]phosh-osk-data-it-0.42.0-r0.apk2025-12-16 16:45 58M 
[   ]phosh-osk-data-fi-0.42.0-r0.apk2025-12-16 16:45 64M 
[   ]phosh-osk-data-es-0.42.0-r0.apk2025-12-16 16:45 52M 
[   ]phosh-osk-data-de-0.42.0-r0.apk2025-12-16 16:45 64M 
[   ]phosh-osk-data-0.42.0-r0.apk2025-12-16 16:45 1.3K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 20:07 287K 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 20:07 1.7K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 20:07 3.9M 
[   ]pgcat-openrc-1.2.0-r1.apk2025-01-01 17:37 1.9K 
[   ]pgcat-1.2.0-r1.apk2025-01-01 17:37 2.6M 
[   ]pfetch-doc-1.9.4-r0.apk2025-10-21 14:59 5.7K 
[   ]pfetch-1.9.4-r0.apk2025-10-21 14:59 23K 
[   ]petitboot-doc-1.15-r0.apk2025-11-14 22:05 8.1K 
[   ]petitboot-dbg-1.15-r0.apk2025-11-14 22:05 582K 
[   ]petitboot-1.15-r0.apk2025-11-14 22:05 175K 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 20:07 1.1M 
[   ]persistent-cache-cpp-doc-1.0.9-r0.apk2025-09-08 00:59 3.2K 
[   ]persistent-cache-cpp-dev-1.0.9-r0.apk2025-09-08 00:59 18K 
[   ]persistent-cache-cpp-1.0.9-r0.apk2025-09-08 00:59 45K 
[   ]perl-xs-object-magic-doc-0.05-r0.apk2025-12-13 07:33 5.3K 
[   ]perl-xs-object-magic-0.05-r0.apk2025-12-13 07:33 9.7K 
[   ]perl-xml-xpathengine-doc-0.14-r0.apk2025-07-08 16:54 11K 
[   ]perl-xml-xpathengine-0.14-r0.apk2025-07-08 16:54 22K 
[   ]perl-xml-tokeparser-doc-0.05-r0.apk2025-12-13 07:33 6.9K 
[   ]perl-xml-tokeparser-0.05-r0.apk2025-12-13 07:33 7.9K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 20:07 4.9K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 20:07 5.7K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 20:07 5.0K 
[   ]perl-xml-feed-doc-1.0.0-r0.apk2025-11-17 18:33 13K 
[   ]perl-xml-feed-1.0.0-r0.apk2025-11-17 18:33 14K 
[   ]perl-xml-descent-doc-1.04-r0.apk2025-12-13 07:33 7.1K 
[   ]perl-xml-descent-1.04-r0.apk2025-12-13 07:33 7.5K 
[   ]perl-xml-bare-doc-0.53-r14.apk2025-06-30 07:14 11K 
[   ]perl-xml-bare-0.53-r14.apk2025-06-30 07:14 27K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 20:07 16K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 20:07 20K 
[   ]perl-x11-xcb-doc-0.24-r0.apk2025-12-13 07:33 13K 
[   ]perl-x11-xcb-0.24-r0.apk2025-12-13 07:33 160K 
[   ]perl-x11-korgwm-doc-5.0-r0.apk2025-12-13 07:33 12K 
[   ]perl-x11-korgwm-5.0-r0.apk2025-12-13 07:33 41K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 20:07 7.6K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 20:07 6.9K 
[   ]perl-web-scraper-doc-0.38-r0.apk2025-07-15 08:22 8.1K 
[   ]perl-web-scraper-0.38-r0.apk2025-07-15 08:22 7.5K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 18:17 29K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 18:17 20K 
[   ]perl-wanted-doc-0.1.0-r0.apk2025-08-09 09:47 11K 
[   ]perl-wanted-0.1.0-r0.apk2025-08-09 09:47 19K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 20:07 5.6K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 20:07 3.2K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 20:07 4.7K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 20:07 5.1K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-19 11:44 4.3K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-19 11:44 2.3K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 20:07 4.9K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 20:07 2.7K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 20:07 4.5K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 20:07 3.2K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 07:58 9.1K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 07:58 14K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 20:07 7.5K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 20:07 7.0K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:26 8.4K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:26 11K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-19 11:44 46K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-19 11:44 20K 
[   ]perl-time-timegm-doc-0.01-r10.apk2025-06-30 07:14 3.9K 
[   ]perl-time-timegm-0.01-r10.apk2025-06-30 07:14 6.4K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 20:07 3.6K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 20:07 2.7K 
[   ]perl-time-moment-doc-0.46-r0.apk2025-12-04 17:58 36K 
[   ]perl-time-moment-0.46-r0.apk2025-12-04 17:58 40K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-19 11:44 3.2K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-19 11:44 2.6K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 20:07 6.5K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 20:07 8.0K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 20:07 6.9K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 20:07 7.2K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 20:07 4.7K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 20:07 3.8K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 20:07 4.6K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 20:07 8.0K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 20:07 6.2K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-09 16:26 14K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-09 16:26 10K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 20:07 5.2K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 20:07 5.3K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 20:07 6.6K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 20:07 8.1K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-19 11:44 3.5K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-19 11:44 4.5K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 02:00 3.0K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 02:00 13K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 20:07 4.1K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 20:07 4.5K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 20:07 4.4K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 20:07 3.8K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-13 14:57 10K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 04:32 6.2K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 04:32 7.4K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-13 14:57 15K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-19 22:34 4.9K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-19 22:34 5.6K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 20:07 3.8K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 20:07 3.8K 
[   ]perl-test-unit-doc-0.29-r0.apk2025-12-10 10:25 49K 
[   ]perl-test-unit-0.29-r0.apk2025-12-10 10:25 36K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 20:07 20K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 20:07 20K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 20:07 6.0K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 20:07 4.9K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 20:07 15K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 20:07 12K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 20:07 4.4K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 20:07 4.8K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 20:07 3.5K 
[   ]perl-test-perl-critic-doc-1.04-r0.apk2025-07-15 08:22 6.4K 
[   ]perl-test-perl-critic-1.04-r0.apk2025-07-15 08:22 6.8K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 20:07 9.8K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 20:07 15K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 20:07 5.3K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 20:07 6.4K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 20:07 8.3K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 20:07 9.8K 
[   ]perl-test-kwalitee-doc-1.28-r0.apk2025-07-15 08:22 6.9K 
[   ]perl-test-kwalitee-1.28-r0.apk2025-07-15 08:22 6.4K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 20:07 15K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 20:07 6.7K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 17:55 6.8K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 17:55 11K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-22 21:28 3.6K 
[   ]perl-test-expect-0.34-r0.apk2025-04-22 21:28 3.5K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 20:07 20K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 20:07 7.1K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 20:07 6.1K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 20:07 7.7K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 20:07 4.2K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 20:07 3.6K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 20:07 5.9K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 20:07 4.2K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 20:07 5.1K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 20:07 8.5K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 20:07 10K 
[   ]perl-term-size-doc-0.211-r5.apk2025-06-30 07:14 3.8K 
[   ]perl-term-size-0.211-r5.apk2025-06-30 07:14 5.5K 
[   ]perl-template-tiny-doc-1.16-r0.apk2025-07-24 12:01 5.0K 
[   ]perl-template-tiny-1.16-r0.apk2025-07-24 12:01 5.5K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-12 15:51 3.7K 
[   ]perl-template-timer-1.00-r0.apk2025-04-12 15:51 3.4K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 20:07 4.4K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 20:07 4.9K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 20:07 3.0K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 20:07 2.7K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 04:46 3.7K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 04:46 2.9K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 20:07 10K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 20:07 12K 
[   ]perl-sys-virt-doc-11.10.0-r0.apk2025-12-03 16:05 106K 
[   ]perl-sys-virt-11.10.0-r0.apk2025-12-03 16:05 207K 
[   ]perl-syntax-operator-in-doc-0.10-r1.apk2025-06-30 07:14 5.9K 
[   ]perl-syntax-operator-in-0.10-r1.apk2025-06-30 07:14 9.4K 
[   ]perl-syntax-operator-equ-doc-0.10-r1.apk2025-06-30 07:14 6.6K 
[   ]perl-syntax-operator-equ-0.10-r1.apk2025-06-30 07:14 7.8K 
[   ]perl-syntax-keyword-match-doc-0.15-r1.apk2025-06-30 07:14 7.8K 
[   ]perl-syntax-keyword-match-0.15-r1.apk2025-06-30 07:14 13K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 12:06 5.6K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 12:06 5.4K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-03-28 16:15 7.8K 
[   ]perl-string-escape-2010.002-r0.apk2025-03-28 16:15 8.9K 
[   ]perl-string-crc32-doc-2.100-r5.apk2025-06-30 07:14 3.4K 
[   ]perl-string-crc32-2.100-r5.apk2025-06-30 07:14 6.7K 
[   ]perl-string-compare-constanttime-doc-0.321-r7.apk2025-06-30 07:14 5.3K 
[   ]perl-string-compare-constanttime-0.321-r7.apk2025-06-30 07:14 7.1K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 20:07 3.4K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 20:07 3.2K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 20:07 6.9K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 20:07 6.7K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 20:07 37K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 20:07 30K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 20:07 50K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 20:07 9.2K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 20:07 10K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 20:07 13K 
[   ]perl-sql-abstract-more-doc-1.44-r0.apk2025-07-15 08:22 17K 
[   ]perl-sql-abstract-more-1.44-r0.apk2025-07-15 08:22 28K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 20:07 20K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 20:07 30K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 20:07 5.4K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 20:07 8.6K 
[   ]perl-software-license-doc-0.104007-r0.apk2025-07-08 16:53 50K 
[   ]perl-software-license-0.104007-r0.apk2025-07-08 16:53 107K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 20:07 90K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 20:07 110K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 16:14 3.9K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 16:14 5.2K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 16:14 3.9K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 16:14 5.3K 
[   ]perl-snmp-info-doc-3.974000-r0.apk2025-09-12 04:49 390K 
[   ]perl-snmp-info-3.974000-r0.apk2025-09-12 04:49 337K 
[   ]perl-snmp-doc-5.0404-r14.apk2025-06-30 07:14 14K 
[   ]perl-snmp-5.0404-r14.apk2025-06-30 07:14 67K 
[   ]perl-smart-comments-doc-1.06-r0.apk2025-07-07 17:02 8.5K 
[   ]perl-smart-comments-1.06-r0.apk2025-07-07 17:02 12K 
[   ]perl-signature-attribute-checked-doc-0.06-r1.apk2025-06-30 07:14 4.6K 
[   ]perl-signature-attribute-checked-0.06-r1.apk2025-06-30 07:14 7.6K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 14:55 5.8K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 14:55 6.0K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 16:14 6.8K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 16:14 7.8K 
[   ]perl-set-infinite-doc-0.65-r0.apk2025-07-24 01:27 11K 
[   ]perl-set-infinite-0.65-r0.apk2025-07-24 01:27 23K 
[   ]perl-scalar-readonly-doc-0.03-r2.apk2025-06-30 07:14 3.4K 
[   ]perl-scalar-readonly-0.03-r2.apk2025-06-30 07:14 5.2K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 20:07 35K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 20:07 12K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 20:07 7.5K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 20:07 26K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 20:07 9.1K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 20:07 2.8K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 20:07 9.0K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 20:07 2.8K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 20:07 23K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 20:07 8.9K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 20:07 2.7K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 20:07 26K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-regexp-trie-doc-0.02-r0.apk2025-07-15 08:22 3.3K 
[   ]perl-regexp-trie-0.02-r0.apk2025-07-15 08:22 3.0K 
[   ]perl-ref-util-xs-doc-0.117-r9.apk2025-06-30 07:14 3.5K 
[   ]perl-ref-util-xs-0.117-r9.apk2025-06-30 07:14 8.6K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 20:07 3.3K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 20:07 5.0K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 20:07 5.6K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 20:07 36K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 20:07 19K 
[   ]perl-promise-xs-doc-0.20-r2.apk2025-06-30 07:14 8.8K 
[   ]perl-promise-xs-0.20-r2.apk2025-06-30 07:14 22K 
[   ]perl-promise-me-doc-0.6.0-r0.apk2025-08-09 09:47 13K 
[   ]perl-promise-me-0.6.0-r0.apk2025-08-09 09:47 27K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 20:07 2.6K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 20:07 2.9K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 20:07 2.3K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 20:07 12K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 20:07 2.5K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 20:07 11K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 20:07 3.5K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 20:07 3.7K 
[   ]perl-prereqscanner-notquitelite-doc-0.9917-r0.apk2025-07-15 08:22 49K 
[   ]perl-prereqscanner-notquitelite-0.9917-r0.apk2025-07-15 08:22 41K 
[   ]perl-ppi-xs-doc-0.910-r2.apk2025-06-30 07:14 3.4K 
[   ]perl-ppi-xs-0.910-r2.apk2025-06-30 07:14 5.6K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 20:07 11K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 20:07 10K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 20:07 4.9K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 20:07 4.6K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-19 11:44 3.1K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-19 11:44 2.8K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 20:07 3.0K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 20:07 3.1K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 14:02 3.1K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 14:02 2.5K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-19 11:44 4.0K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-19 11:44 3.6K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 20:07 3.3K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 20:07 3.9K 
[   ]perl-perlio-locale-doc-0.10-r13.apk2025-06-30 07:14 3.1K 
[   ]perl-perlio-locale-0.10-r13.apk2025-06-30 07:14 4.3K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 20:07 5.1K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 20:07 5.2K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 12:05 38K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 12:05 14K 
[   ]perl-parse-distname-doc-0.05-r0.apk2025-07-15 08:22 4.4K 
[   ]perl-parse-distname-0.05-r0.apk2025-07-15 08:22 5.5K 
[   ]perl-pango-doc-1.227-r12.apk2025-06-30 07:14 82K 
[   ]perl-pango-1.227-r12.apk2025-06-30 07:14 73K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 20:07 33K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 20:07 18K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 20:07 7.3K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 20:07 8.6K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 11:56 5.4K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 11:56 3.7K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r1.apk2025-06-30 07:14 4.5K 
[   ]perl-object-pad-fieldattr-checked-0.12-r1.apk2025-06-30 07:14 8.0K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 20:07 7.0K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 20:07 5.7K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 20:07 26K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 20:07 15K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 20:07 9.0K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 20:07 15K 
[   ]perl-nice-try-doc-1.3.17-r0.apk2025-07-24 01:27 13K 
[   ]perl-nice-try-1.3.17-r0.apk2025-07-24 01:27 28K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 20:07 8.0K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 20:07 11K 
[   ]perl-net-patricia-doc-1.24-r0.apk2025-11-20 22:15 6.3K 
[   ]perl-net-patricia-1.24-r0.apk2025-11-20 22:15 20K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 04:10 8.6K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 04:10 14K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 20:07 5.1K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 20:07 5.5K 
[   ]perl-net-idn-encode-doc-2.500-r2.apk2025-06-30 07:14 22K 
[   ]perl-net-idn-encode-2.500-r2.apk2025-06-30 07:14 84K 
[   ]perl-net-dbus-doc-1.2.0-r0.apk2025-12-13 07:33 94K 
[   ]perl-net-dbus-1.2.0-r0.apk2025-12-13 07:33 92K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 20:07 3.1K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 20:07 3.0K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 20:07 12K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 20:07 2.7K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 20:07 8.8K 
[   ]perl-net-curl-doc-0.57-r1.apk2025-06-30 07:14 39K 
[   ]perl-net-curl-0.57-r1.apk2025-06-30 07:14 57K 
[   ]perl-net-async-redis-xs-doc-1.001-r2.apk2025-06-30 07:14 5.4K 
[   ]perl-net-async-redis-xs-1.001-r2.apk2025-06-30 07:14 8.6K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 16:22 66K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 16:22 58K 
[   ]perl-net-amqp-rabbitmq-doc-2.40014-r1.apk2025-11-20 23:47 11K 
[   ]perl-net-amqp-rabbitmq-2.40014-r1.apk2025-11-20 23:47 76K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 20:07 3.5K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-musicbrainz-discid-doc-0.06-r2.apk2025-06-30 07:14 4.4K 
[   ]perl-musicbrainz-discid-0.06-r2.apk2025-06-30 07:14 8.9K 
[   ]perl-multidimensional-doc-0.014-r1.apk2025-06-30 07:14 3.2K 
[   ]perl-multidimensional-0.014-r1.apk2025-06-30 07:14 4.7K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 12:05 3.2K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 12:05 3.6K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-15 14:08 3.6K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-15 14:08 3.0K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-15 14:08 4.1K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-15 14:08 4.0K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-04-30 16:21 3.7K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-04-30 16:21 3.2K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-01 06:34 4.2K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-01 06:34 4.9K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-16 04:03 4.2K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-16 04:03 3.8K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-15 14:08 24K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-15 14:08 7.7K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-01 06:34 3.3K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-01 06:34 2.8K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-22 21:28 5.5K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-22 21:28 6.0K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 10:55 9.4K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 10:55 8.2K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-19 11:44 22K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-19 11:44 8.6K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-04 17:22 5.2K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-04 17:22 5.1K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-16 04:03 25K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-16 04:03 14K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-16 04:03 5.3K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-16 04:03 5.4K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-16 04:03 4.2K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-16 04:03 4.1K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-19 11:44 33K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-19 11:44 28K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 20:07 19K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 20:07 16K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 20:07 25K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 20:07 25K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 20:07 4.4K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 20:07 4.7K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 16:14 5.8K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 16:14 4.7K 
[   ]perl-module-generic-doc-1.1.3-r0.apk2025-10-24 17:52 262K 
[   ]perl-module-generic-1.1.3-r0.apk2025-10-24 17:52 324K 
[   ]perl-module-extract-version-doc-1.119-r0.apk2025-09-05 06:56 3.5K 
[   ]perl-module-extract-version-1.119-r0.apk2025-09-05 06:56 3.3K 
[   ]perl-module-cpants-analyse-doc-1.02-r0.apk2025-07-15 08:22 28K 
[   ]perl-module-cpants-analyse-1.02-r0.apk2025-07-15 08:22 27K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 20:07 3.8K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-minion-doc-11.0-r0.apk2025-08-27 08:14 51K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 20:07 6.8K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 20:07 10K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 20:07 6.6K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 20:07 10K 
[   ]perl-minion-backend-pg-11.0-r0.apk2025-08-27 08:14 9.6K 
[   ]perl-minion-11.0-r0.apk2025-08-27 08:14 1.5M 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 16:14 3.8K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 16:14 6.2K 
[   ]perl-mce-doc-1.902-r0.apk2025-09-10 03:59 171K 
[   ]perl-mce-1.902-r0.apk2025-09-10 03:59 134K 
[   ]perl-math-random-isaac-xs-doc-1.004-r9.apk2025-06-30 07:14 3.8K 
[   ]perl-math-random-isaac-xs-1.004-r9.apk2025-06-30 07:14 7.2K 
[   ]perl-math-random-doc-0.75-r0.apk2025-10-01 04:55 11K 
[   ]perl-math-random-0.75-r0.apk2025-10-01 04:55 35K 
[   ]perl-math-libm-doc-1.00-r15.apk2025-06-30 07:14 3.2K 
[   ]perl-math-libm-1.00-r15.apk2025-06-30 07:14 9.5K 
[   ]perl-math-int64-doc-0.57-r2.apk2025-06-30 07:14 11K 
[   ]perl-math-int64-0.57-r2.apk2025-06-30 07:14 29K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 20:07 33K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 20:07 22K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 20:07 5.6K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 20:07 6.4K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 20:07 3.9K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 20:07 4.2K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 20:07 12K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 20:07 11K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 20:07 7.2K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 20:07 10K 
[   ]perl-list-keywords-doc-0.11-r1.apk2025-06-30 07:14 5.6K 
[   ]perl-list-keywords-0.11-r1.apk2025-06-30 07:14 14K 
[   ]perl-list-binarysearch-xs-doc-0.09-r2.apk2025-06-30 07:14 8.1K 
[   ]perl-list-binarysearch-xs-0.09-r2.apk2025-06-30 07:14 12K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 20:07 12K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 20:07 9.9K 
[   ]perl-linux-pid-doc-0.04-r15.apk2025-06-30 07:14 3.1K 
[   ]perl-linux-pid-0.04-r15.apk2025-06-30 07:14 4.6K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 16:14 3.0K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 16:14 4.3K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 14:57 3.7K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 14:57 4.1K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 14:57 3.5K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 14:57 5.2K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 14:57 3.9K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 14:57 6.1K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 16:14 34K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 16:14 12K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 02:00 4.3K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 02:00 5.4K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 14:55 3.5K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 14:55 4.6K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 16:14 4.5K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 16:14 546K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 16:14 3.4K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 16:14 3.1K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 12:06 3.9K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 12:06 5.3K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 16:14 3.6K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 16:14 3.2K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 16:14 3.5K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 16:14 3.3K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:35 571K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:35 305K 
[   ]perl-libapreq2-doc-2.17-r3.apk2025-06-30 07:14 37K 
[   ]perl-libapreq2-dev-2.17-r3.apk2025-06-30 07:14 54K 
[   ]perl-libapreq2-2.17-r3.apk2025-06-30 07:14 93K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-04-29 19:46 7.6K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-04-29 19:46 7.5K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-19 11:44 34K 
[   ]perl-json-validator-5.15-r0.apk2025-03-19 11:44 58K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 20:07 3.5K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 20:07 3.1K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 20:07 5.7K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 20:07 68K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 20:07 75K 
[   ]perl-io-interactive-doc-1.027-r0.apk2025-09-01 13:16 5.2K 
[   ]perl-io-interactive-1.027-r0.apk2025-09-01 13:16 5.3K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 16:34 9.8K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 16:34 11K 
[   ]perl-indirect-doc-0.39-r2.apk2025-06-30 07:14 6.4K 
[   ]perl-indirect-0.39-r2.apk2025-06-30 07:14 15K 
[   ]perl-imager-doc-1.028-r1.apk2025-06-30 07:14 286K 
[   ]perl-imager-1.028-r1.apk2025-06-30 07:14 497K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 20:07 4.1K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 20:07 4.2K 
[   ]perl-http-xsheaders-doc-0.400005-r2.apk2025-06-30 07:14 6.4K 
[   ]perl-http-xsheaders-0.400005-r2.apk2025-06-30 07:14 17K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 20:07 3.1K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 18:17 40K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 18:17 18K 
[   ]perl-html-treebuilder-xpath-doc-0.14-r0.apk2025-07-10 02:28 4.2K 
[   ]perl-html-treebuilder-xpath-0.14-r0.apk2025-07-10 02:28 7.8K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 20:07 9.9K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 20:07 18K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 20:07 6.2K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 11:50 10K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 11:50 14K 
[   ]perl-html-object-doc-0.6.0-r0.apk2025-10-16 16:25 474K 
[   ]perl-html-object-0.6.0-r0.apk2025-10-16 16:25 343K 
[   ]perl-html-gumbo-doc-0.18-r2.apk2025-06-30 07:14 5.4K 
[   ]perl-html-gumbo-0.18-r2.apk2025-06-30 07:14 14K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-01 06:34 323K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-01 06:34 135K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 14:55 23K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 14:55 17K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 20:07 19K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 20:07 9.8K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 15:18 3.4K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 15:18 3.1K 
[   ]perl-guard-doc-1.023-r10.apk2025-06-30 07:14 5.3K 
[   ]perl-guard-1.023-r10.apk2025-06-30 07:14 8.1K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 20:07 81K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 20:07 66K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 20:07 7.3K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 20:07 13K 
[   ]perl-gtk2-doc-1.24993-r7.apk2025-06-30 07:14 679K 
[   ]perl-gtk2-1.24993-r7.apk2025-06-30 07:14 808K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 20:07 14K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 20:07 7.8K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 20:07 7.1K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 20:07 22K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 20:07 15K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 20:07 4.8K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 20:07 31K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 20:07 16K 
[   ]perl-git-raw-doc-0.90-r4.apk2025-06-30 07:14 119K 
[   ]perl-git-raw-0.90-r4.apk2025-06-30 07:14 163K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 20:07 17K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 20:07 23K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 09:39 11K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 09:39 15K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 20:07 20K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 20:07 27K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 20:07 4.3K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 20:07 9.1K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 20:07 9.6K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 20:07 16K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 20:07 9.2K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r1.apk2025-06-30 07:14 3.3K 
[   ]perl-future-asyncawait-hooks-0.02-r1.apk2025-06-30 07:14 7.7K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 20:07 10K 
[   ]perl-full-1.004-r0.apk2024-10-25 20:07 7.1K 
[   ]perl-freezethaw-doc-0.5001-r3.apk2025-10-18 10:32 5.7K 
[   ]perl-freezethaw-0.5001-r3.apk2025-10-18 10:32 9.8K 
[   ]perl-flowd-doc-0.9.1-r11.apk2025-06-30 07:14 3.3K 
[   ]perl-flowd-0.9.1-r11.apk2025-06-30 07:14 23K 
[   ]perl-finance-quote-doc-1.66-r0.apk2025-07-15 08:22 88K 
[   ]perl-finance-quote-1.66-r0.apk2025-07-15 08:22 106K 
[   ]perl-file-treecreate-doc-0.0.1-r0.apk2025-07-15 08:22 4.4K 
[   ]perl-file-treecreate-0.0.1-r0.apk2025-07-15 08:22 4.0K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 20:07 12K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 20:07 7.5K 
[   ]perl-file-mmagic-xs-doc-0.09008-r5.apk2025-06-30 07:14 4.3K 
[   ]perl-file-mmagic-xs-0.09008-r5.apk2025-06-30 07:14 27K 
[   ]perl-file-find-object-doc-0.3.9-r0.apk2025-07-15 08:22 13K 
[   ]perl-file-find-object-0.3.9-r0.apk2025-07-15 08:22 9.2K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-20 16:04 14K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-20 16:04 12K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 20:07 5.1K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 20:07 5.2K 
[   ]perl-ffi-platypus-doc-2.10-r1.apk2025-06-30 07:14 148K 
[   ]perl-ffi-platypus-2.10-r1.apk2025-06-30 07:14 182K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 20:07 29K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 20:07 20K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 20:07 3.8K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 20:07 21K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 20:07 43K 
[   ]perl-extutils-makemaker-7.76-r0.apk2025-08-16 14:18 174K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 04:38 4.9K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 04:38 5.5K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 19:01 20K 
[   ]perl-expect-1.38-r0.apk2025-04-19 19:01 32K 
[   ]perl-ev-hiredis-doc-0.07-r3.apk2025-06-30 07:14 4.2K 
[   ]perl-ev-hiredis-0.07-r3.apk2025-06-30 07:14 13K 
[   ]perl-encode-detect-doc-1.01-r1.apk2025-06-30 07:14 4.8K 
[   ]perl-encode-detect-1.01-r1.apk2025-06-30 07:14 69K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 08:55 42K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 08:55 25K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 20:07 4.8K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 20:07 6.1K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 20:07 3.8K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 20:07 4.0K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 20:07 13K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 20:07 7.6K 
[   ]perl-dns-unbound-mojo-0.29-r2.apk2025-06-30 07:14 2.7K 
[   ]perl-dns-unbound-ioasync-0.29-r2.apk2025-06-30 07:14 2.4K 
[   ]perl-dns-unbound-doc-0.29-r2.apk2025-06-30 07:14 15K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk2025-06-30 07:14 1.9K 
[   ]perl-dns-unbound-anyevent-0.29-r2.apk2025-06-30 07:14 2.3K 
[   ]perl-dns-unbound-0.29-r2.apk2025-06-30 07:14 22K 
[   ]perl-digest-crc-doc-0.24-r2.apk2025-06-30 07:14 3.3K 
[   ]perl-digest-crc-0.24-r2.apk2025-06-30 07:14 9.6K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 20:07 5.2K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 20:07 5.6K 
[   ]perl-devel-trace-doc-0.12-r0.apk2025-07-06 19:08 3.5K 
[   ]perl-devel-trace-0.12-r0.apk2025-07-06 19:08 3.4K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-04-29 19:46 3.4K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-04-29 19:46 3.7K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 14:50 60K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 14:50 28K 
[   ]perl-devel-refcount-doc-0.10-r2.apk2025-06-30 07:14 4.3K 
[   ]perl-devel-refcount-0.10-r2.apk2025-06-30 07:14 6.0K 
[   ]perl-devel-nytprof-doc-6.14-r1.apk2025-06-30 07:14 51K 
[   ]perl-devel-nytprof-6.14-r1.apk2025-06-30 07:14 385K 
[   ]perl-devel-leak-doc-0.03-r14.apk2025-06-30 07:14 3.3K 
[   ]perl-devel-leak-0.03-r14.apk2025-06-30 07:14 6.4K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 20:07 6.6K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 20:07 11K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 20:07 8.4K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 20:07 8.0K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 20:07 7.5K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 20:07 4.3K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 06:37 22K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 06:37 15K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-09 16:27 77K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-09 16:27 97K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-19 22:34 121K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-19 22:34 48K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:26 421K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-09 16:27 3.0K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-09 16:27 3.2K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 05:59 9.5K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 05:59 7.9K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:26 355K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 20:07 5.3K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 20:07 5.9K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 20:07 15K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 20:07 12K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 20:07 7.6K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 20:07 2.5K 
[   ]perl-datetime-set-doc-0.3900-r0.apk2025-07-24 01:27 18K 
[   ]perl-datetime-set-0.3900-r0.apk2025-07-24 01:27 20K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:08 4.1K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:08 4.4K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 13:25 12K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 13:25 18K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:08 3.8K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:08 3.2K 
[   ]perl-datetime-astro-doc-1.04-r0.apk2025-07-24 01:27 6.0K 
[   ]perl-datetime-astro-1.04-r0.apk2025-07-24 01:27 34K 
[   ]perl-date-range-doc-1.41-r0.apk2025-07-08 16:53 4.0K 
[   ]perl-date-range-1.41-r0.apk2025-07-08 16:53 3.8K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 20:07 9.3K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 20:07 14K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 20:07 29K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 20:07 23K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-19 14:53 8.3K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-19 14:53 9.8K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 20:07 5.8K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 20:07 8.8K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 20:07 5.8K 
[   ]perl-data-section-doc-0.200008-r0.apk2025-07-07 17:02 5.6K 
[   ]perl-data-section-0.200008-r0.apk2025-07-07 17:02 6.4K 
[   ]perl-data-dump-streamer-doc-2.42-r1.apk2025-06-30 07:14 17K 
[   ]perl-data-dump-streamer-2.42-r1.apk2025-06-30 07:14 49K 
[   ]perl-data-clone-doc-0.006-r1.apk2025-06-30 07:14 4.5K 
[   ]perl-data-clone-0.006-r1.apk2025-06-30 07:14 9.3K 
[   ]perl-data-checks-doc-0.11-r0.apk2025-11-26 08:23 8.5K 
[   ]perl-data-checks-0.11-r0.apk2025-11-26 08:23 21K 
[   ]perl-data-binary-doc-0.01-r0.apk2025-07-10 02:28 3.1K 
[   ]perl-data-binary-0.01-r0.apk2025-07-10 02:28 2.8K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:54 301K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:54 163K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 20:07 8.4K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 20:07 9.7K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 20:07 5.3K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 20:07 4.9K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 20:07 15K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 20:07 15K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 20:07 8.2K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 20:07 12K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 20:07 33K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 20:07 23K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 11:50 9.4K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 11:50 16K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 20:07 6.3K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 20:07 6.9K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 20:07 8.8K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 20:07 11K 
[   ]perl-crypt-blowfish-doc-2.14-r1.apk2025-06-30 07:14 4.1K 
[   ]perl-crypt-blowfish-2.14-r1.apk2025-06-30 07:14 12K 
[   ]perl-cpansa-db-doc-20250807.001-r0.apk2025-09-01 13:16 5.6K 
[   ]perl-cpansa-db-20250807.001-r0.apk2025-09-01 13:16 1.3M 
[   ]perl-cpan-changes-doc-0.500005-r0.apk2025-08-16 14:16 18K 
[   ]perl-cpan-changes-0.500005-r0.apk2025-08-16 14:16 13K 
[   ]perl-cpan-audit-doc-20250829.001-r0.apk2025-09-05 06:56 12K 
[   ]perl-cpan-audit-20250829.001-r0.apk2025-09-05 06:56 14K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 20:07 4.2K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 20:07 3.8K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 20:07 7.0K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 20:07 8.7K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 20:07 6.9K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 20:07 7.4K 
[   ]perl-conf-libconfig-doc-1.0.3-r2.apk2025-06-30 07:14 5.5K 
[   ]perl-conf-libconfig-1.0.3-r2.apk2025-06-30 07:14 23K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-19 11:44 7.4K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-19 11:44 9.5K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 20:07 5.2K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 20:07 7.3K 
[   ]perl-clipboard-doc-0.32-r1.apk2025-10-13 15:43 27K 
[   ]perl-clipboard-0.32-r1.apk2025-10-13 15:43 10K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:54 12K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:54 13K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-04 17:22 3.1K 
[   ]perl-class-unload-0.11-r0.apk2025-06-04 17:22 2.6K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 20:07 9.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 20:07 5.3K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 20:07 5.5K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-14 16:31 4.7K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-14 16:31 5.1K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 20:07 9.4K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 20:07 7.5K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 20:07 12K 
[   ]perl-check-unitcheck-doc-0.13-r2.apk2025-06-30 07:14 3.6K 
[   ]perl-check-unitcheck-0.13-r2.apk2025-06-30 07:14 5.7K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-14 16:31 6.8K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-14 16:31 7.8K 
[   ]perl-cgi-simple-doc-1.282-r0.apk2025-08-30 04:17 43K 
[   ]perl-cgi-simple-1.282-r0.apk2025-08-30 04:17 56K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 20:07 6.1K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 20:07 6.8K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-14 21:14 24K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-14 21:14 11K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-04-29 19:46 3.6K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-04-29 19:46 3.3K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 04:46 4.5K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 04:46 3.1K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 04:46 3.5K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 04:46 3.4K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-01 06:34 3.6K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-01 06:34 3.4K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-14 21:14 4.0K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-14 21:14 4.3K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-12 15:51 13K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-12 15:51 14K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 08:55 11K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 08:55 9.1K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-19 11:44 216K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-19 11:44 150K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-20 16:04 7.4K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-20 16:04 8.7K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 02:46 3.9K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 02:46 4.7K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 02:46 4.0K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 02:46 3.6K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 18:17 6.4K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 18:17 5.9K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 04:32 4.8K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 04:32 4.9K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 11:56 25K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 11:56 14K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 08:55 13K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 08:55 4.1K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-20 16:04 10K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-20 16:04 5.4K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-03-29 08:34 56K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-03-29 08:34 32K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 16:35 18K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 16:35 19K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-13 14:57 12K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-13 14:57 6.5K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 05:22 375K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 05:22 2.7K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-20 16:04 11K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-20 16:04 54K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 05:41 4.4K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 05:41 5.0K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 10:55 3.3K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 10:55 2.7K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 16:35 14K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 16:35 13K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 05:40 6.8K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 05:40 8.6K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-14 21:14 5.7K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-14 21:14 4.3K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-04-01 07:19 27K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-04-01 07:19 25K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-19 14:53 4.0K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-19 14:53 3.8K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-04-29 19:46 5.9K 
[   ]perl-carp-repl-0.18-r0.apk2025-04-29 19:46 6.0K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-13 14:57 7.8K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-13 14:57 9.0K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 20:07 3.2K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 20:07 2.9K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 20:07 12K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 20:07 14K 
[   ]perl-bsd-resource-doc-1.2911-r11.apk2025-06-30 07:14 7.9K 
[   ]perl-bsd-resource-1.2911-r11.apk2025-06-30 07:14 19K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 20:07 3.6K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 20:07 3.9K 
[   ]perl-bareword-filehandles-doc-0.007-r1.apk2025-06-30 07:14 3.2K 
[   ]perl-bareword-filehandles-0.007-r1.apk2025-06-30 07:14 5.6K 
[   ]perl-barcode-zbar-doc-0.10-r4.apk2025-06-30 07:14 13K 
[   ]perl-barcode-zbar-0.10-r4.apk2025-06-30 07:14 29K 
[   ]perl-badger-doc-0.16-r1.apk2025-11-11 23:13 263K 
[   ]perl-badger-0.16-r1.apk2025-11-11 23:13 253K 
[   ]perl-b-utils-doc-0.27-r1.apk2025-06-30 07:14 9.5K 
[   ]perl-b-utils-0.27-r1.apk2025-06-30 07:14 19K 
[   ]perl-b-hooks-op-check-doc-0.22-r1.apk2025-06-30 07:14 3.8K 
[   ]perl-b-hooks-op-check-0.22-r1.apk2025-06-30 07:14 6.3K 
[   ]perl-autobox-doc-3.0.2-r1.apk2025-06-30 07:14 8.9K 
[   ]perl-autobox-3.0.2-r1.apk2025-06-30 07:14 18K 
[   ]perl-astro-telescope-doc-0.71-r0.apk2025-07-24 01:27 5.0K 
[   ]perl-astro-telescope-0.71-r0.apk2025-07-24 01:27 48K 
[   ]perl-astro-satpass-doc-0.134-r0.apk2025-09-18 17:15 133K 
[   ]perl-astro-satpass-0.134-r0.apk2025-09-18 17:15 218K 
[   ]perl-astro-pal-doc-1.09-r0.apk2025-07-24 01:27 7.4K 
[   ]perl-astro-pal-1.09-r0.apk2025-07-24 01:27 204K 
[   ]perl-astro-montenbruck-doc-1.26-r0.apk2025-07-24 01:27 60K 
[   ]perl-astro-montenbruck-1.26-r0.apk2025-07-24 01:27 53K 
[   ]perl-astro-doc-0.78-r0.apk2025-07-24 01:27 14K 
[   ]perl-astro-coords-doc-0.23-r0.apk2025-10-08 22:16 40K 
[   ]perl-astro-coords-0.23-r0.apk2025-10-08 22:16 53K 
[   ]perl-astro-0.78-r0.apk2025-07-24 01:27 32K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 16:34 5.0K 
[   ]perl-asa-1.04-r0.apk2025-06-11 16:34 4.3K 
[   ]perl-array-diff-doc-0.09-r0.apk2025-07-10 02:28 3.9K 
[   ]perl-array-diff-0.09-r0.apk2025-07-10 02:28 3.3K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 20:07 6.8K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 20:07 16K 
[   ]perl-archive-any-lite-doc-0.11-r0.apk2025-07-15 08:22 3.7K 
[   ]perl-archive-any-lite-0.11-r0.apk2025-07-15 08:22 4.1K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 20:07 10K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 20:07 12K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 20:07 5.1K 
[   ]perl-anyevent-dbus-doc-0.31-r0.apk2025-12-13 07:33 3.8K 
[   ]perl-anyevent-dbus-0.31-r0.apk2025-12-13 07:33 3.4K 
[   ]perl-alien-libgumbo-doc-0.05-r1.apk2025-06-30 07:14 4.8K 
[   ]perl-alien-libgumbo-0.05-r1.apk2025-06-30 07:14 558K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 16:14 53K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 16:14 22K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 20:07 5.6K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 20:07 5.6K 
[   ]perl-algorithm-permute-doc-0.17-r1.apk2025-06-30 07:14 5.1K 
[   ]perl-algorithm-permute-0.17-r1.apk2025-06-30 07:14 11K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 20:07 148K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 20:07 79K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 20:07 4.6K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 20:07 6.1K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 20:07 5.1K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 20:07 5.6K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 20:07 29K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 20:07 9.6K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 20:07 17K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 20:07 8.1K 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 20:07 298K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 20:07 1.8M 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 20:07 14K 
[   ]peg-0.1.18-r1.apk2024-10-25 20:07 34K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 20:07 1.8K 
[   ]peervpn-0.044-r5.apk2024-10-25 20:07 40K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 18:56 3.7K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 18:56 43K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 18:56 64K 
[   ]pdfcrack-0.21-r0.apk2025-11-19 08:08 29K 
[   ]pdf2svg-0.2.4-r0.apk2025-09-28 18:49 4.4K 
[   ]pdal-python-plugins-1.6.5-r0.apk2025-06-21 21:24 227K 
[   ]pcl-libs-1.14.1-r0.apk2025-02-17 12:07 1.2M 
[   ]pcl-dev-1.14.1-r0.apk2025-02-17 12:07 387K 
[   ]pcl-1.14.1-r0.apk2025-02-17 12:07 434K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 20:07 3.3K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 20:07 44K 
[   ]pash-2.3.0-r2.apk2024-10-25 20:07 4.3K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-18 22:18 569K 
[   ]parpar-0.4.5-r0.apk2025-10-12 21:02 1.9M 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 20:07 49K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 20:07 25K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 20:07 224K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-14 21:14 5.9K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-14 21:14 183K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 20:07 30K 
[   ]par-1.53.0-r1.apk2024-10-25 20:07 14K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 22:07 38K 
[   ]paprefs-1.2-r2.apk2024-11-22 22:07 29K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 20:07 4.5K 
[   ]paperkey-1.6-r2.apk2024-10-25 20:07 16K 
[   ]paperde-dev-0.3.0-r2.apk2025-08-21 07:47 5.0K 
[   ]paperde-0.3.0-r2.apk2025-08-21 07:47 599K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 20:07 1.7K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 20:07 83K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 20:07 6.4K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 20:07 45K 
[   ]pandora_box-0.16.1-r0.apk2025-12-15 21:20 419K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 20:07 2.9K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 20:07 8.4K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 20:07 8.3K 
[   ]pam-pkcs11-systemd-0.6.13-r1.apk2025-11-17 12:54 1.8K 
[   ]pam-pkcs11-doc-0.6.13-r1.apk2025-11-17 12:54 14K 
[   ]pam-pkcs11-0.6.13-r1.apk2025-11-17 12:54 241K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 20:07 24K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 20:07 21K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 20:07 18K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 20:07 3.6K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 20:07 728K 
[   ]packwiz-doc-0_git20251102-r1.apk2025-12-04 13:54 2.3K 
[   ]packwiz-0_git20251102-r1.apk2025-12-04 13:54 4.7M 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 20:07 1.8K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 20:07 3.0K 
[   ]p910nd-0.97-r2.apk2024-10-25 20:07 7.2K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 20:07 25K 
[   ]p0f-3.09b-r3.apk2024-10-25 20:07 88K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 20:07 32M 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 20:07 6.5K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 20:07 12K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 20:07 4.0K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 20:07 46K 
[   ]ovos-phal-pyc-0.2.10-r0.apk2025-07-15 19:29 7.2K 
[   ]ovos-phal-0.2.10-r0.apk2025-07-15 19:29 10K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 07:43 6.6K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 07:43 10K 
[   ]ovos-gui-pyc-1.3.3-r0.apk2025-07-15 20:03 38K 
[   ]ovos-gui-1.3.3-r0.apk2025-07-15 20:03 38K 
[   ]ovos-core-pyc-2.1.0-r0.apk2025-11-02 14:52 58K 
[   ]ovos-core-2.1.0-r0.apk2025-11-02 14:52 49K 
[   ]ovos-audio-pyc-1.0.1-r0.apk2025-07-15 20:03 36K 
[   ]ovos-audio-1.0.1-r0.apk2025-07-15 20:03 136K 
[   ]ovn-openrc-25.09.2-r0.apk2025-12-14 22:05 2.4K 
[   ]ovn-doc-25.09.2-r0.apk2025-12-14 22:05 264K 
[   ]ovn-dev-25.09.2-r0.apk2025-12-14 22:05 1.8M 
[   ]ovn-dbg-25.09.2-r0.apk2025-12-14 22:05 6.7M 
[   ]ovn-25.09.2-r0.apk2025-12-14 22:05 1.8M 
[   ]ovhcloud-cli-0.9.0-r0.apk2025-12-14 10:05 7.4M 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 07:38 3.1K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 07:38 3.0K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 07:38 3.8K 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 07:38 2.5K 
[   ]ouch-0.6.1-r0.apk2025-05-28 07:38 1.7M 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 20:06 107K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 20:06 1.9K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 20:06 1.8K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 20:06 1.8K 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 20:06 795K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 20:06 3.9M 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 20:06 2.4K 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 20:06 4.0K 
[   ]otrs-6.0.48-r2.apk2024-10-25 20:06 29M 
[   ]otpclient-doc-4.1.1-r0.apk2025-07-24 01:27 3.6K 
[   ]otpclient-4.1.1-r0.apk2025-07-24 01:27 108K 
[   ]otf-server-openrc-0.4.9-r0.apk2025-12-04 13:54 2.0K 
[   ]otf-server-0.4.9-r0.apk2025-12-04 13:54 13M 
[   ]otf-cli-0.4.9-r0.apk2025-12-04 13:54 7.9M 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 20:06 48K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 20:06 102K 
[   ]otf-agent-openrc-0.4.9-r0.apk2025-12-04 13:54 2.0K 
[   ]otf-agent-0.4.9-r0.apk2025-12-04 13:54 8.1M 
[   ]otf-0.4.9-r0.apk2025-12-04 13:54 1.3K 
[   ]ostui-doc-1.0.4-r0.apk2025-09-11 02:50 28K 
[   ]ostui-1.0.4-r0.apk2025-09-11 02:50 4.8M 
[   ]osmctools-0.9-r0.apk2024-10-25 20:06 134K 
[   ]organicmaps-2025.09.05.1-r0.apk2025-10-20 10:32 126M 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 11:49 1.2M 
[   ]orage-4.20.1-r0.apk2025-04-08 11:49 577K 
[   ]oppa-1.1.0-r0.apk2025-10-12 13:21 540K 
[   ]opmsg-1.84-r1.apk2024-10-25 20:06 256K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 20:06 3.6K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 20:06 25K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 20:06 76K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 20:06 7.7K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 20:06 106K 
[   ]opkg-0.7.0-r0.apk2024-10-25 20:06 10K 
[   ]openwsman-libs-2.8.1-r1.apk2025-06-30 07:14 305K 
[   ]openwsman-doc-2.8.1-r1.apk2025-06-30 07:14 2.4K 
[   ]openwsman-dev-2.8.1-r1.apk2025-06-30 07:14 56K 
[   ]openwsman-2.8.1-r1.apk2025-06-30 07:14 46K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-17 12:07 667K 
[   ]openvpn3-3.8.5-r1.apk2025-02-17 12:07 377K 
[   ]opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk2025-11-22 13:33 48K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk2025-11-22 13:33 83K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk2025-11-22 13:33 57K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk2025-11-22 13:33 43K 
[   ]opentelemetry-cpp-dev-1.24.0-r0.apk2025-11-22 13:33 521K 
[   ]opentelemetry-cpp-1.24.0-r0.apk2025-11-22 13:33 583K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 20:06 2.0K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 20:06 9.4K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 20:06 148K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 20:06 102K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 20:06 18K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 20:06 60K 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 15:50 2.2K 
[   ]openrdap-0.9.1-r0.apk2025-06-24 15:50 3.3M 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 20:06 3.3K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 20:06 3.3K 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 20:06 3.7K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 20:06 1.6M 
[   ]openocd-git-udev-0_git20251018-r1.apk2025-10-20 19:44 3.5K 
[   ]openocd-git-doc-0_git20251018-r1.apk2025-10-20 19:44 3.2K 
[   ]openocd-git-dev-0_git20251018-r1.apk2025-10-20 19:44 3.3K 
[   ]openocd-git-dbg-0_git20251018-r1.apk2025-10-20 19:44 4.2M 
[   ]openocd-git-cmd-openocd-0_git20251018-r1.apk2025-10-20 19:44 1.6K 
[   ]openocd-git-0_git20251018-r1.apk2025-10-20 19:44 1.8M 
[   ]openocd-esp32-udev-0_git20250707-r2.apk2025-10-20 19:44 3.5K 
[   ]openocd-esp32-doc-0_git20250707-r2.apk2025-10-20 19:44 3.2K 
[   ]openocd-esp32-dev-0_git20250707-r2.apk2025-10-20 19:44 3.7K 
[   ]openocd-esp32-0_git20250707-r2.apk2025-10-20 19:44 2.0M 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 20:06 2.0M 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 21:31 6.3K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 21:31 41K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 20:56 556K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 20:56 3.0K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 20:56 71K 
[   ]opendht-3.1.11-r0.apk2025-01-27 20:56 177K 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 20:06 9.9M 
[   ]opcr-policy-0.3.1-r1.apk2025-12-04 13:54 9.8M 
[   ]oniux-doc-0.6.1-r0.apk2025-09-08 15:38 3.3K 
[   ]oniux-0.6.1-r0.apk2025-09-08 15:38 5.9M 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 20:06 183K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 20:06 1.9K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 20:06 25K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 20:06 168K 
[   ]ol-doc-2.6-r0.apk2025-05-01 06:25 2.6K 
[   ]ol-dev-2.6-r0.apk2025-05-01 06:25 16K 
[   ]ol-2.6-r0.apk2025-05-01 06:25 1.0M 
[   ]oils-for-unix-doc-0.35.0-r0.apk2025-09-28 22:29 7.0K 
[   ]oils-for-unix-binsh-0.35.0-r0.apk2025-09-28 22:29 1.5K 
[   ]oils-for-unix-bash-0.35.0-r0.apk2025-09-28 22:29 1.7K 
[   ]oils-for-unix-0.35.0-r0.apk2025-09-28 22:29 684K 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 20:06 7.0K 
[   ]oil-0.21.0-r0.apk2024-10-25 20:06 1.4M 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 22:04 1.2M 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 20:06 13K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 20:06 31K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 22:04 1.7K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 20:06 18K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 20:06 29K 
[   ]octoprint-filecheck-pyc-2024.11.12-r0.apk2025-07-15 08:22 12K 
[   ]octoprint-filecheck-2024.11.12-r0.apk2025-07-15 08:22 28K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 20:06 3.3K 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 20:06 4.7K 
[   ]octoprint-1.10.3-r0.apk2024-12-25 22:04 3.0M 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 20:06 69K 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 20:06 45K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 20:06 1.1M 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 20:06 1.1M 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 20:06 518K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 20:06 763K 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 20:06 349K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 20:06 25K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 20:06 16K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 20:06 240K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 20:06 82K 
[   ]ocaml-reason-dev-3.8.2-r2.apk2025-10-25 04:57 29M 
[   ]ocaml-reason-3.8.2-r2.apk2025-10-25 04:57 15M 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 20:06 3.6K 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 20:06 326K 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 20:06 1.3M 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 20:06 668K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 20:06 94K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 20:06 54K 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 20:06 8.0K 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 20:06 1.6M 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 20:06 1.1M 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 20:06 259K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 20:06 156K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 20:06 296K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 20:06 185K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 20:06 96K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 20:06 69K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 20:06 14K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 20:06 99K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 20:06 175K 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 20:06 8.8K 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 20:06 3.8M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 20:06 3.4M 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 20:06 19K 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 20:06 725K 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 20:06 3.4M 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 20:06 16K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 20:06 598K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 20:06 314K 
[   ]ocaml-cpdf-2.8.1-r0.apk2025-05-14 21:14 4.9M 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 20:06 189K 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 20:06 104K 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 20:06 2.5M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 20:06 5.1M 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 20:06 1.3M 
[   ]ocaml-camlpdf-2.8.1-r0.apk2025-05-14 21:14 6.0M 
[   ]obnc-doc-0.17.2-r0.apk2025-05-25 23:37 33K 
[   ]obnc-0.17.2-r0.apk2025-05-25 23:37 143K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-01 01:39 237K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-01 01:39 97K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-01 01:39 34K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 20:06 231K 
[   ]oauth2-proxy-openrc-7.11.0-r4.apk2025-12-04 13:54 2.1K 
[   ]oauth2-proxy-7.11.0-r4.apk2025-12-04 13:54 8.5M 
[   ]nzbget-openrc-25.4-r0.apk2025-11-17 14:40 2.1K 
[   ]nzbget-25.4-r0.apk2025-11-17 14:40 4.7M 
[   ]nyuu-0.4.2-r0.apk2025-10-12 21:03 705K 
[   ]nymphcast-mediaserver-nftables-0.1-r4.apk2025-08-28 21:08 1.7K 
[   ]nymphcast-mediaserver-0.1-r4.apk2025-08-28 21:08 60K 
[   ]nwipe-doc-0.39-r0.apk2025-12-05 02:01 3.8K 
[   ]nwipe-0.39-r0.apk2025-12-05 02:01 277K 
[   ]nwg-panel-pyc-0.10.13-r0.apk2025-11-28 13:29 270K 
[   ]nwg-panel-doc-0.10.13-r0.apk2025-11-28 13:29 4.4K 
[   ]nwg-panel-0.10.13-r0.apk2025-11-28 13:29 288K 
[   ]nwg-menu-doc-0.1.9-r4.apk2025-12-04 13:54 2.3K 
[   ]nwg-menu-0.1.9-r4.apk2025-12-04 13:54 1.6M 
[   ]nwg-look-doc-1.0.6-r1.apk2025-12-04 13:54 4.2K 
[   ]nwg-look-1.0.6-r1.apk2025-12-04 13:54 1.4M 
[   ]nwg-dock-0.4.3-r5.apk2025-12-04 13:54 1.7M 
[   ]nwg-displays-pyc-0.3.26-r0.apk2025-08-28 07:39 36K 
[   ]nwg-displays-0.3.26-r0.apk2025-08-28 07:39 27K 
[   ]nwg-bar-0.1.6-r15.apk2025-12-04 13:54 1.5M 
[   ]nvtop-doc-3.2.0-r0.apk2025-04-29 23:39 3.5K 
[   ]nvtop-3.2.0-r0.apk2025-04-29 23:39 70K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 20:06 1.8K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 20:06 4.4K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 20:06 13K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 20:06 2.0K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 20:06 3.8K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 20:06 2.0K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 20:06 3.5K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 20:06 2.6K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 20:06 3.5K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 20:06 10K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 20:06 1.8K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 20:06 3.3K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 20:06 4.2K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 20:06 7.8K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 20:06 55K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-25 07:04 3.2K 
[   ]nuzzle-1.6-r0.apk2025-01-25 07:04 12K 
[   ]numbat-doc-1.16.0-r0.apk2025-08-19 13:11 32K 
[   ]numbat-1.16.0-r0.apk2025-08-19 13:11 1.9M 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 20:06 1.6K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 20:06 10K 
[   ]nullmailer-2.2-r4.apk2024-10-25 20:06 93K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 20:06 42K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 20:06 220K 
[   ]ntpd-rs-openrc-1.6.2-r2.apk2025-12-18 17:57 1.9K 
[   ]ntpd-rs-doc-1.6.2-r2.apk2025-12-18 17:57 24K 
[   ]ntpd-rs-1.6.2-r2.apk2025-12-18 17:57 3.2M 
[   ]nsq-1.3.0-r10.apk2025-05-14 21:14 25M 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 20:06 2.6K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 20:06 8.7K 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 20:06 3.4M 
[   ]nsh-0.4.2-r1.apk2024-10-25 20:06 615K 
[   ]nrf5-sdk-doc-17.1.0-r0.apk2025-08-19 19:54 3.6K 
[   ]nrf5-sdk-17.1.0-r0.apk2025-08-19 19:54 47M 
[   ]notification-daemon-3.20.0-r1.apk2025-07-01 21:59 56K 
[   ]normaliz-libs-3.10.4-r3.apk2025-11-26 08:23 2.7M 
[   ]normaliz-dev-3.10.4-r3.apk2025-11-26 08:23 73K 
[   ]normaliz-3.10.4-r3.apk2025-11-26 08:23 38K 
[   ]nomadnet-pyc-0.8.0-r0.apk2025-09-23 19:39 285K 
[   ]nomadnet-0.8.0-r0.apk2025-09-23 19:39 143K 
[   ]nom-doc-2.8.0-r8.apk2025-12-04 13:54 4.0K 
[   ]nom-2.8.0-r8.apk2025-12-04 13:54 6.9M 
[   ]noice-doc-0.8-r1.apk2024-10-25 20:06 3.4K 
[   ]noice-0.8-r1.apk2024-10-25 20:06 8.7K 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 20:06 1.7M 
[   ]noggin-model-0.1-r0.apk2024-10-25 20:06 12M 
[   ]noggin-doc-0.1-r23.apk2025-12-04 13:54 2.9K 
[   ]noggin-0.1-r23.apk2025-12-04 13:54 1.4M 
[   ]noblenote-1.2.1-r1.apk2024-10-25 20:06 384K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 11:57 808K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 11:57 2.0K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 11:57 20K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 20:06 7.0K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 20:06 2.9K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 20:06 13K 
[   ]nitro-init-doc-0.6-r0.apk2025-12-16 23:55 4.6K 
[   ]nitro-init-0.6-r0.apk2025-12-16 23:55 22K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 20:06 190K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 20:06 501K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-01 18:06 789K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-01 18:06 757K 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-01 18:06 2.6K 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-01 18:06 1.6M 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 20:06 21K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 20:06 713K 
[   ]nfoview-doc-2.1-r0.apk2025-04-13 10:30 8.0K 
[   ]nfoview-2.1-r0.apk2025-04-13 10:30 39K 
[   ]nfcd-systemd-1.2.3-r1.apk2025-11-15 11:12 1.8K 
[   ]nfcd-dev-1.2.3-r1.apk2025-11-15 11:12 24K 
[   ]nfcd-1.2.3-r1.apk2025-11-15 11:12 278K 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 20:06 69M 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 20:06 1.4M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 20:06 709K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 20:06 25M 
[   ]nextpnr-0.7-r0.apk2024-10-25 20:06 1.4K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 20:06 24K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 20:06 19K 
[   ]networkmanager-dmenu-doc-2.6.1-r1.apk2025-10-13 15:43 6.8K 
[   ]networkmanager-dmenu-2.6.1-r1.apk2025-10-13 15:43 14K 
[   ]netsed-1.4-r0.apk2025-08-18 10:15 9.7K 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 20:06 3.3K 
[   ]netscanner-0.5.1-r1.apk2024-10-25 20:06 3.6M 
[   ]netdiscover-doc-0.21-r0.apk2025-08-15 12:45 4.2K 
[   ]netdiscover-0.21-r0.apk2025-08-15 12:45 547K 
[   ]net-predictable-doc-1.5.1-r5.apk2025-12-04 13:54 2.2K 
[   ]net-predictable-1.5.1-r5.apk2025-12-04 13:54 937K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 21:46 6.2K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 21:46 86K 
[   ]neocmakelsp-zsh-completion-0.9.0-r0.apk2025-11-22 13:31 1.8K 
[   ]neocmakelsp-fish-completion-0.9.0-r0.apk2025-11-22 13:31 1.6K 
[   ]neocmakelsp-doc-0.9.0-r0.apk2025-11-22 13:31 5.8K 
[   ]neocmakelsp-bash-completion-0.9.0-r0.apk2025-11-22 13:31 2.0K 
[   ]neocmakelsp-0.9.0-r0.apk2025-11-22 13:31 1.7M 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 20:06 22K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 22:26 20K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 22:26 10K 
[   ]neko-2.3.0-r0.apk2024-11-20 22:26 432K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 20:06 1.7K 
[   ]neard-doc-0.19-r0.apk2024-10-25 20:06 5.6K 
[   ]neard-dev-0.19-r0.apk2024-10-25 20:06 11K 
[   ]neard-0.19-r0.apk2024-10-25 20:06 135K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 20:06 9.4K 
[   ]nbsdgames-5-r0.apk2024-10-25 20:06 99K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nb-full-7.19.1-r0.apk2025-05-24 22:10 1.3K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-24 22:10 2.8K 
[   ]nb-doc-7.19.1-r0.apk2025-05-24 22:10 77K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nb-7.19.1-r0.apk2025-05-24 22:10 152K 
[   ]nauty-libs-2.9.1-r0.apk2025-09-08 16:41 2.0M 
[   ]nauty-dev-2.9.1-r0.apk2025-09-08 16:41 2.7M 
[   ]nauty-2.9.1-r0.apk2025-09-08 16:41 5.2M 
[   ]nautilus-python-doc-4.0.1-r0.apk2025-10-12 21:54 4.2K 
[   ]nautilus-python-dev-4.0.1-r0.apk2025-10-12 21:54 1.7K 
[   ]nautilus-python-4.0.1-r0.apk2025-10-12 21:54 9.4K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 20:06 2.2K 
[   ]n30f-2.0-r3.apk2024-10-25 20:06 6.7K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 20:06 66K 
[   ]musikcube-plugin-taglibreader-3.0.5-r0.apk2025-09-27 21:09 31K 
[   ]musikcube-plugin-supereqdsp-3.0.5-r0.apk2025-09-27 21:09 23K 
[   ]musikcube-plugin-stockencoders-3.0.5-r0.apk2025-09-27 21:09 18K 
[   ]musikcube-plugin-server-3.0.5-r0.apk2025-09-27 21:09 357K 
[   ]musikcube-plugin-openmpt-3.0.5-r0.apk2025-09-27 21:09 26K 
[   ]musikcube-plugin-mpris-3.0.5-r0.apk2025-09-27 21:09 19K 
[   ]musikcube-plugin-httpdatastream-3.0.5-r0.apk2025-09-27 21:09 69K 
[   ]musikcube-plugin-all-3.0.5-r0.apk2025-09-27 21:09 1.3K 
[   ]musikcube-dev-3.0.5-r0.apk2025-09-27 21:09 19K 
[   ]musikcube-3.0.5-r0.apk2025-09-27 21:09 2.0M 
[   ]muse-doc-4.2.1-r2.apk2025-05-14 21:14 4.1M 
[   ]muse-4.2.1-r2.apk2025-05-14 21:14 5.8M 
[   ]mtail-openrc-3.2.26-r1.apk2025-12-04 13:54 2.0K 
[   ]mtail-3.2.26-r1.apk2025-12-04 13:54 13M 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 20:06 14K 
[   ]mspdebug-0.25-r1.apk2024-10-25 20:06 168K 
[   ]msh-openrc-2.5.0-r17.apk2025-12-04 13:54 2.0K 
[   ]msh-2.5.0-r17.apk2025-12-04 13:54 2.9M 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 20:06 7.3K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 20:06 22K 
[   ]msgpuck-2.0-r1.apk2024-10-25 20:06 1.2K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 20:06 54K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 20:06 10K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 20:06 203K 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 20:06 5.2K 
[   ]mqtt2prometheus-0.1.7-r21.apk2025-12-04 13:54 4.4M 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-16 15:16 1.4M 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 20:06 2.3K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 20:06 15K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 20:06 15K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 20:06 2.9K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 20:06 13K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 20:06 56K 
[   ]mpdcron-0.3-r1.apk2024-10-25 20:06 96K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 20:06 13K 
[   ]motion-openrc-4.7.1-r0.apk2025-09-27 21:09 2.2K 
[   ]motion-lang-4.7.1-r0.apk2025-09-27 21:09 471K 
[   ]motion-doc-4.7.1-r0.apk2025-09-27 21:09 140K 
[   ]motion-4.7.1-r0.apk2025-09-27 21:09 144K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 08:34 717K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 08:34 1.7K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 08:34 41K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 08:34 1.7K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 08:34 378K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 08:34 95K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 08:34 688K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 08:34 1.7K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 08:34 229K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 08:34 2.0K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 08:34 7.8K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 08:34 121K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 08:34 292K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 20:06 7.1K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 20:06 34K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 11:11 1.7K 
[   ]monopd-0.10.4-r0.apk2025-01-11 11:11 90K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 20:06 89K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 20:06 167K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 20:06 321K 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 20:06 77K 
[   ]monetdb-11.33.11-r4.apk2024-10-25 20:06 2.3M 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 20:06 114M 
[   ]moe-doc-1.14-r0.apk2024-10-25 20:06 19K 
[   ]moe-1.14-r0.apk2024-10-25 20:06 102K 
[   ]mods-doc-1.8.1-r3.apk2025-12-04 13:54 2.3K 
[   ]mods-1.8.1-r3.apk2025-12-04 13:54 10M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 20:06 129K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 20:06 3.9M 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 20:06 323K 
[   ]mod_dnssd-0.6-r1.apk2025-08-08 23:40 8.3K 
[   ]mobroute-doc-0.10.0-r5.apk2025-12-04 13:54 1.3M 
[   ]mobroute-0.10.0-r5.apk2025-12-04 13:54 4.5M 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 20:06 60K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 20:06 32K 
[   ]mml-zsh-completion-1.0.0-r1.apk2025-09-30 00:41 2.8K 
[   ]mml-fish-completion-1.0.0-r1.apk2025-09-30 00:41 2.2K 
[   ]mml-doc-1.0.0-r1.apk2025-09-30 00:41 3.8K 
[   ]mml-bash-completion-1.0.0-r1.apk2025-09-30 00:41 2.3K 
[   ]mml-1.0.0-r1.apk2025-09-30 00:41 880K 
[   ]mmix-0_git20221025-r0.apk2024-10-25 20:06 177K 
[   ]mmar-0.2.5-r1.apk2025-05-14 21:14 2.6M 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 20:06 14K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 20:06 12K 
[   ]mm-common-doc-1.0.7-r0.apk2025-06-27 16:39 33K 
[   ]mm-common-1.0.7-r0.apk2025-06-27 16:39 414K 
[   ]mm-1.4.2-r1.apk2024-10-25 20:06 7.1K 
[   ]mlxl-0.1-r0.apk2024-10-25 20:06 5.5K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 20:06 2.5K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 20:06 10K 
[   ]mkosi-pyc-26-r0.apk2025-12-17 18:30 438K 
[   ]mkosi-doc-26-r0.apk2025-12-17 18:30 75K 
[   ]mkosi-26-r0.apk2025-12-17 18:30 295K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 20:06 3.1K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 20:06 16K 
[   ]mkdotenv-0.4.9-r2.apk2025-12-04 13:54 925K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 20:06 944K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 20:06 248K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 20:06 11K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 20:06 644K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 20:06 651K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 20:06 249K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 20:06 4.7K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 20:06 538K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 20:06 791K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 20:06 260K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 20:06 29K 
[   ]mkcert-1.4.4-r24.apk2025-12-04 13:54 1.8M 
[   ]mjpg-streamer-input-raspicam-0_git20210220-r2.apk2025-05-14 21:14 15K 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-14 21:14 183K 
[   ]mirrorhall-0.1.1-r2.apk2025-08-08 23:40 26K 
[   ]miracle-wm-dev-0.8.2-r1.apk2025-12-18 14:07 15K 
[   ]miracle-wm-0.8.2-r1.apk2025-12-18 14:07 447K 
[   ]mir-test-tools-2.25.2-r0.apk2025-12-18 14:07 1.2M 
[   ]mir-dev-2.25.2-r0.apk2025-12-18 14:07 7.9M 
[   ]mir-demos-2.25.2-r0.apk2025-12-18 14:07 141K 
[   ]mir-2.25.2-r0.apk2025-12-18 14:07 2.2M 
[   ]mint-y-theme-xfwm4-2.3.2-r0.apk2025-11-12 21:20 203K 
[   ]mint-y-theme-metacity-2.3.2-r0.apk2025-11-12 21:20 55K 
[   ]mint-y-theme-gtk4-2.3.2-r0.apk2025-11-12 21:20 1.7M 
[   ]mint-y-theme-gtk3-2.3.2-r0.apk2025-11-12 21:20 2.0M 
[   ]mint-y-theme-gtk2-2.3.2-r0.apk2025-11-12 21:20 595K 
[   ]mint-y-theme-2.3.2-r0.apk2025-11-12 21:20 3.5K 
[   ]mint-y-icons-doc-1.8.9-r0.apk2025-12-01 06:04 12K 
[   ]mint-y-icons-1.8.9-r0.apk2025-12-01 06:04 73M 
[   ]mint-x-theme-xfwm4-2.3.2-r0.apk2025-11-12 21:20 31K 
[   ]mint-x-theme-metacity-2.3.2-r0.apk2025-11-12 21:20 6.0K 
[   ]mint-x-theme-gtk4-2.3.2-r0.apk2025-11-12 21:20 503K 
[   ]mint-x-theme-gtk3-2.3.2-r0.apk2025-11-12 21:20 597K 
[   ]mint-x-theme-gtk2-2.3.2-r0.apk2025-11-12 21:20 453K 
[   ]mint-x-theme-2.3.2-r0.apk2025-11-12 21:20 2.8K 
[   ]mint-x-icons-doc-1.7.5-r0.apk2025-12-01 06:04 7.9K 
[   ]mint-x-icons-1.7.5-r0.apk2025-12-01 06:04 23M 
[   ]mint-themes-doc-2.3.2-r0.apk2025-11-12 21:20 14K 
[   ]mint-themes-2.3.2-r0.apk2025-11-12 21:20 1.8M 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 20:06 1.9K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 20:06 329K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 20:06 5.2K 
[   ]minimodem-0.24-r1.apk2024-10-25 20:06 20K 
[   ]minigalaxy-pyc-1.4.0-r0.apk2025-07-15 08:22 135K 
[   ]minigalaxy-1.4.0-r0.apk2025-07-15 08:22 197K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 20:06 1.8K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 20:06 5.1K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 20:06 12K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 20:06 42K 
[   ]mimeo-2023-r2.apk2024-10-25 20:06 28K 
[   ]mimedefang-doc-3.6-r1.apk2025-10-07 21:03 81K 
[   ]mimedefang-3.6-r1.apk2025-10-07 21:03 158K 
[   ]mimalloc1-insecure-1.9.4-r0.apk2025-11-05 14:28 64K 
[   ]mimalloc1-dev-1.9.4-r0.apk2025-11-05 14:28 465K 
[   ]mimalloc1-debug-1.9.4-r0.apk2025-11-05 14:28 203K 
[   ]mimalloc1-1.9.4-r0.apk2025-11-05 14:28 67K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 20:06 50K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 20:06 972K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 20:06 54K 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-01-31 14:31 66K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-01-31 14:31 1.9M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-01-31 14:31 49K 
[   ]mesonlsp-4.3.7-r4.apk2025-06-19 05:36 2.2M 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 16:38 8.3K 
[   ]meson-tools-0.1-r2.apk2024-12-09 16:38 8.2K 
[   ]mergerfs-doc-2.41.1-r0.apk2025-12-13 15:12 3.3K 
[   ]mergerfs-2.41.1-r0.apk2025-12-13 15:12 412K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 20:06 111K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 20:06 3.1K 
[   ]memdump-1.01-r1.apk2024-10-25 20:06 5.4K 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 13:44 465K 
[   ]megazeux-2.93d-r0.apk2025-06-10 13:44 1.3M 
[   ]megatools-doc-1.11.5.20250706-r0.apk2025-07-30 15:14 52K 
[   ]megatools-bash-completion-1.11.5.20250706-r0.apk2025-07-30 15:14 4.1K 
[   ]megatools-1.11.5.20250706-r0.apk2025-07-30 15:14 65K 
[   ]meep-dev-1.31.0-r1.apk2025-08-19 13:06 505K 
[   ]meep-1.31.0-r1.apk2025-08-19 13:06 612K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 20:06 7.5K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-06 22:31 11K 
[   ]mediastreamer2-doc-5.3.112-r1.apk2025-10-19 09:50 108K 
[   ]mediastreamer2-dev-5.3.112-r1.apk2025-10-19 09:50 110K 
[   ]mediastreamer2-5.3.112-r1.apk2025-10-19 09:50 338K 
[   ]mediascanner2-systemd-0.118-r4.apk2025-11-17 12:54 1.8K 
[   ]mediascanner2-0.118-r4.apk2025-11-17 12:54 245K 
[   ]mdp-doc-1.0.18-r0.apk2025-07-10 02:28 3.9K 
[   ]mdp-1.0.18-r0.apk2025-07-10 02:28 16K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 20:06 17K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 20:06 2.1K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 20:06 17K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 20:06 14K 
[   ]mdnsd-0.12-r1.apk2024-10-25 20:06 23K 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 20:06 934K 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 19:17 2.7M 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-17 10:45 1.2M 
[   ]mdbook-alerts-0.8.0-r0.apk2025-09-25 21:50 739K 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-16 20:31 1.0M 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 20:06 9.1K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 20:06 54K 
[   ]mcjoin-2.11-r0.apk2024-10-25 20:06 22K 
[   ]mbrola-3.3-r0.apk2024-10-25 20:06 20K 
[   ]maxima-emacs-5.48.1-r9.apk2025-08-22 10:19 111K 
[   ]maxima-doc-extra-5.48.1-r9.apk2025-08-22 10:19 9.6M 
[   ]maxima-doc-5.48.1-r9.apk2025-08-22 10:19 846K 
[   ]maxima-bash-completion-5.48.1-r9.apk2025-08-22 10:19 2.3K 
[   ]maxima-5.48.1-r9.apk2025-08-22 10:19 25M 
[   ]mautrix-zulip-openrc-0.2511.0-r1.apk2025-12-04 13:54 2.0K 
[   ]mautrix-zulip-doc-0.2511.0-r1.apk2025-12-04 13:54 13K 
[   ]mautrix-zulip-0.2511.0-r1.apk2025-12-04 13:54 6.7M 
[   ]mautrix-linkedin-openrc-0.2512.0-r0.apk2025-12-16 18:01 2.0K 
[   ]mautrix-linkedin-doc-0.2512.0-r0.apk2025-12-16 18:01 13K 
[   ]mautrix-linkedin-0.2512.0-r0.apk2025-12-16 18:01 6.6M 
[   ]materia-gtk4-20210322-r3.apk2025-07-15 08:22 43K 
[   ]materia-gtk3-20210322-r3.apk2025-07-15 08:22 63K 
[   ]materia-gtk2-20210322-r3.apk2025-07-15 08:22 35K 
[   ]materia-gtk-theme-20210322-r3.apk2025-07-15 08:22 2.9K 
[   ]materia-gnome-shell-20210322-r3.apk2025-07-15 08:22 29K 
[   ]materia-dark-gtk4-20210322-r3.apk2025-07-15 08:22 29K 
[   ]materia-dark-gtk3-20210322-r3.apk2025-07-15 08:22 40K 
[   ]materia-dark-gtk2-20210322-r3.apk2025-07-15 08:22 34K 
[   ]materia-dark-gnome-shell-20210322-r3.apk2025-07-15 08:22 29K 
[   ]materia-dark-compact-gtk4-20210322-r3.apk2025-07-15 08:22 29K 
[   ]materia-dark-compact-gtk3-20210322-r3.apk2025-07-15 08:22 40K 
[   ]materia-dark-compact-gtk2-20210322-r3.apk2025-07-15 08:22 35K 
[   ]materia-dark-compact-gnome-shell-20210322-r3.apk2025-07-15 08:22 29K 
[   ]materia-dark-compact-chromium-20210322-r3.apk2025-07-15 08:22 5.7K 
[   ]materia-dark-compact-20210322-r3.apk2025-07-15 08:22 1.7K 
[   ]materia-dark-chromium-20210322-r3.apk2025-07-15 08:22 5.7K 
[   ]materia-dark-20210322-r3.apk2025-07-15 08:22 1.7K 
[   ]materia-compact-gtk4-20210322-r3.apk2025-07-15 08:22 43K 
[   ]materia-compact-gtk3-20210322-r3.apk2025-07-15 08:22 63K 
[   ]materia-compact-gtk2-20210322-r3.apk2025-07-15 08:22 35K 
[   ]materia-compact-gnome-shell-20210322-r3.apk2025-07-15 08:22 29K 
[   ]materia-compact-chromium-20210322-r3.apk2025-07-15 08:22 5.7K 
[   ]materia-compact-20210322-r3.apk2025-07-15 08:22 1.7K 
[   ]materia-chromium-20210322-r3.apk2025-07-15 08:22 5.7K 
[   ]materia-20210322-r3.apk2025-07-15 08:22 1.7K 
[   ]mat2-pyc-0.13.5-r0.apk2025-09-16 19:00 54K 
[   ]mat2-doc-0.13.5-r0.apk2025-09-16 19:00 7.7K 
[   ]mat2-0.13.5-r0.apk2025-09-16 19:00 35K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 11:57 64K 
[   ]masky-0.2.0-r2.apk2025-05-29 11:57 277K 
[   ]marxan-4.0.7-r1.apk2024-10-25 20:06 520K 
[   ]mapserver-dev-8.4.1-r1.apk2025-12-04 13:54 540K 
[   ]mapserver-8.4.1-r1.apk2025-12-04 13:54 1.3M 
[   ]mapnik-doc-4.1.4-r0.apk2025-12-04 13:54 143K 
[   ]mapnik-dev-4.1.4-r0.apk2025-12-04 13:54 487K 
[   ]mapnik-4.1.4-r0.apk2025-12-04 13:54 12M 
[   ]manifest-tool-2.2.0-r6.apk2025-12-04 13:54 3.9M 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 20:06 14K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 20:06 2.8K 
[   ]mangal-zsh-completion-4.0.6-r23.apk2025-12-04 13:54 4.0K 
[   ]mangal-fish-completion-4.0.6-r23.apk2025-12-04 13:54 3.9K 
[   ]mangal-bash-completion-4.0.6-r23.apk2025-12-04 13:54 5.0K 
[   ]mangal-4.0.6-r23.apk2025-12-04 13:54 10M 
[   ]mame-tools-0.251-r0.apk2024-10-25 20:06 2.4M 
[   ]mame-plugins-0.251-r0.apk2024-10-25 20:06 166K 
[   ]mame-mess-0.251-r0.apk2024-10-25 20:06 47M 
[   ]mame-lang-0.251-r0.apk2024-10-25 20:06 1.4M 
[   ]mame-doc-0.251-r0.apk2024-10-25 20:06 24K 
[   ]mame-data-0.251-r0.apk2024-10-25 20:06 19M 
[   ]mame-common-0.251-r0.apk2024-10-25 20:06 2.7K 
[   ]mame-arcade-0.251-r0.apk2024-10-25 20:06 61M 
[   ]mame-0.251-r0.apk2024-10-25 20:06 88M 
[   ]malcontent-doc-0.13.1-r0.apk2025-09-03 10:35 45K 
[   ]malcontent-dev-0.13.1-r0.apk2025-09-03 10:35 24K 
[   ]malcontent-0.13.1-r0.apk2025-09-03 10:35 155K 
[   ]makeself-2.5.0-r0.apk2024-10-25 20:06 13K 
[   ]makedumpfile-openrc-1.7.8-r0.apk2025-11-05 14:24 3.1K 
[   ]makedumpfile-doc-1.7.8-r0.apk2025-11-05 14:24 24K 
[   ]makedumpfile-1.7.8-r0.apk2025-11-05 14:24 172K 
[   ]makeclapman-doc-2.4.4-r10.apk2025-12-04 13:54 4.1K 
[   ]makeclapman-2.4.4-r10.apk2025-12-04 13:54 1.3M 
[   ]mailsec-check-0_git20210729-r31.apk2025-12-04 13:54 2.6M 
[   ]maildir2rss-0.0.7-r10.apk2025-12-04 13:54 3.6M 
[   ]maildir-rank-addr-doc-1.4.1-r1.apk2025-12-04 13:54 2.3K 
[   ]maildir-rank-addr-1.4.1-r1.apk2025-12-04 13:54 3.3M 
[   ]magpie-lang-0.9.4-r0.apk2025-10-16 17:07 849K 
[   ]magpie-dev-0.9.4-r0.apk2025-10-16 17:07 246K 
[   ]magpie-0.9.4-r0.apk2025-10-16 17:07 1.7M 
[   ]mage-1.13.0-r23.apk2025-05-14 21:14 1.5M 
[   ]macchina-doc-6.4.0-r0.apk2025-07-24 01:27 5.7K 
[   ]macchina-6.4.0-r0.apk2025-07-24 01:27 1.0M 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 20:06 16K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 20:06 13K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 10:09 38K 
[   ]lynis-doc-3.1.4-r0.apk2025-07-29 08:11 50K 
[   ]lynis-bash-completion-3.1.4-r0.apk2025-07-29 08:11 3.0K 
[   ]lynis-3.1.4-r0.apk2025-07-29 08:11 276K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 20:06 80K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 20:06 2.6K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 20:06 3.2K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 20:06 29K 
[   ]lv_font_conv-doc-1.5.3-r0.apk2025-08-19 19:54 5.0K 
[   ]lv_font_conv-1.5.3-r0.apk2025-08-19 19:54 1.1M 
[   ]lutris-pyc-0.5.19-r1.apk2025-09-16 18:08 1.1M 
[   ]lutris-lang-0.5.19-r1.apk2025-09-16 18:08 810K 
[   ]lutris-doc-0.5.19-r1.apk2025-09-16 18:08 2.3K 
[   ]lutris-0.5.19-r1.apk2025-09-16 18:08 819K 
[   ]lutgen-zsh-completion-1.0.1-r0.apk2025-11-19 18:45 1.7K 
[   ]lutgen-fish-completion-1.0.1-r0.apk2025-11-19 18:45 1.8K 
[   ]lutgen-doc-1.0.1-r0.apk2025-11-19 18:45 4.5K 
[   ]lutgen-bash-completion-1.0.1-r0.apk2025-11-19 18:45 1.7K 
[   ]lutgen-1.0.1-r0.apk2025-11-19 18:45 1.9M 
[   ]lumins-0.4.0-r2.apk2024-10-25 20:06 665K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 20:06 181K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 20:06 90K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 20:06 156K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 20:06 117K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 20:06 190K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 20:06 373K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 20:06 150K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 20:06 12K 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 20:06 786K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 20:06 8.8M 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 20:06 157K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 20:06 1.2K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 20:06 5.5K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 20:06 3.1K 
[   ]luksmeta-9-r0.apk2024-10-25 20:06 13K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 20:06 35K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 20:06 1.2K 
[   ]luacov-0.15.0-r0.apk2024-10-25 20:06 1.4K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 20:06 8.9K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 20:06 23K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 20:06 16K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 20:06 60K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 20:06 4.2K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 20:06 5.3K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 20:06 8.8K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 20:06 413K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 20:06 23K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 20:06 16K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 20:06 60K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 20:06 4.2K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 20:06 22K 
[   ]lua5.2-ubus-2025.10.17-r0.apk2025-10-25 12:57 9.1K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 20:06 5.3K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 20:06 8.8K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 20:06 413K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 20:06 23K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 20:06 16K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 20:06 8.9K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 20:06 59K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 20:06 4.1K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 20:06 22K 
[   ]lua5.1-ubus-2025.10.17-r0.apk2025-10-25 12:57 9.1K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 20:06 5.4K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 20:06 68K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 20:06 413K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 20:06 23K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 20:06 16K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 20:06 8.9K 
[   ]lua5.1-libguestfs-1.56.1-r0.apk2025-07-24 01:27 77K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 20:06 22K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 20:06 59K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 20:06 1.4K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 20:06 3.6K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 20:06 5.3K 
[   ]lua-psl-0.3-r0.apk2024-10-25 20:06 1.1K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 20:06 89K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 20:06 20K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 20:06 1.5K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 20:06 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 20:06 19K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 20:06 1.2K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 20:06 1.2K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 20:06 1.4K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 20:06 9.1K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 20:06 3.4K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 20:06 1.2K 
[   ]lspmux-doc-0.3.0-r0.apk2025-11-05 14:23 6.6K 
[   ]lspmux-0.3.0-r0.apk2025-11-05 14:23 1.0M 
[   ]lsix-1.8.2-r0.apk2024-10-25 20:06 6.5K 
[   ]lshell-pyc-0.9.18-r12.apk2025-09-05 06:56 35K 
[   ]lshell-doc-0.9.18-r12.apk2025-09-05 06:56 25K 
[   ]lshell-0.9.18-r12.apk2025-09-05 06:56 36K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 20:06 2.5K 
[   ]lsdvd-0.17-r0.apk2024-10-25 20:06 13K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 20:06 23K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 20:06 11K 
[   ]lrcalc-2.1-r1.apk2024-10-25 20:06 11K 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 20:06 3.0K 
[   ]lowjs-1.6.2-r2.apk2024-10-25 20:06 1.3M 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 20:06 453K 
[   ]lout-3.42.2-r0.apk2024-10-25 20:06 1.4M 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 20:06 3.1K 
[   ]lotide-0.15.0-r0.apk2024-10-25 20:06 3.6M 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-14 21:14 26K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-14 21:14 3.3K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-14 21:14 36K 
[   ]lomiri-trust-store-lang-2.0.2-r14.apk2025-12-18 14:07 28K 
[   ]lomiri-trust-store-dev-2.0.2-r14.apk2025-12-18 14:07 9.1K 
[   ]lomiri-trust-store-2.0.2-r14.apk2025-12-18 14:07 900K 
[   ]lomiri-thumbnailer-doc-3.1.0-r0.apk2025-12-10 10:25 124K 
[   ]lomiri-thumbnailer-dev-3.1.0-r0.apk2025-12-10 10:25 5.1K 
[   ]lomiri-thumbnailer-3.1.0-r0.apk2025-12-10 10:25 204K 
[   ]lomiri-sounds-25.01-r0.apk2025-08-19 20:07 18M 
[   ]lomiri-settings-components-lang-1.1.3-r0.apk2025-10-25 20:19 108K 
[   ]lomiri-settings-components-1.1.3-r0.apk2025-10-25 20:19 217K 
[   ]lomiri-schemas-0.1.9-r0.apk2025-10-01 17:03 10K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 10:05 88K 
[   ]lomiri-location-service-systemd-3.3.0-r5.apk2025-11-17 12:54 2.1K 
[   ]lomiri-location-service-lang-3.3.0-r5.apk2025-11-17 12:54 26K 
[   ]lomiri-location-service-doc-3.3.0-r5.apk2025-11-17 12:54 2.9K 
[   ]lomiri-location-service-dev-3.3.0-r5.apk2025-11-17 12:54 30K 
[   ]lomiri-location-service-3.3.0-r5.apk2025-11-17 12:54 2.0M 
[   ]lomiri-history-service-dev-0.6-r20.apk2025-12-19 11:18 11K 
[   ]lomiri-history-service-0.6-r20.apk2025-12-19 11:18 327K 
[   ]lomiri-download-manager-lang-0.3.0-r0.apk2025-12-10 10:25 30K 
[   ]lomiri-download-manager-doc-0.3.0-r0.apk2025-12-10 10:25 2.9M 
[   ]lomiri-download-manager-dev-0.3.0-r0.apk2025-12-10 10:25 17K 
[   ]lomiri-download-manager-0.3.0-r0.apk2025-12-10 10:25 541K 
[   ]lomiri-app-launch-dev-0.1.12-r5.apk2025-12-18 14:07 20K 
[   ]lomiri-app-launch-0.1.12-r5.apk2025-12-18 14:07 309K 
[   ]lomiri-api-dev-0.2.3-r0.apk2025-10-25 20:19 32K 
[   ]lomiri-api-0.2.3-r0.apk2025-10-25 20:19 30K 
[   ]lomiri-action-api-dev-1.2.1-r0.apk2025-10-25 20:19 5.0K 
[   ]lomiri-action-api-1.2.1-r0.apk2025-10-25 20:19 73K 
[   ]lolcat-1.4-r0.apk2024-10-25 20:06 9.7K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 20:06 6.5K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 20:06 442K 
[   ]logtop-libs-0.7-r1.apk2025-08-08 23:40 13K 
[   ]logtop-doc-0.7-r1.apk2025-08-08 23:40 2.8K 
[   ]logtop-0.7-r1.apk2025-08-08 23:40 12K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 20:06 5.4K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 20:06 1.4K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 20:06 3.3K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 14:20 8.6K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 20:06 3.9K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 14:20 4.8K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 14:20 15K 
[   ]logc-0.5.0-r1.apk2025-06-13 14:20 7.3K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-17 12:07 135K 
[   ]log4cxx-1.1.0-r3.apk2025-02-17 12:07 471K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 20:06 39K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 20:06 69K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 20:06 5.3K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 20:06 1.9K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 20:06 3.0K 
[   ]llmnrd-0.7-r1.apk2024-10-25 20:06 18K 
[   ]lkrg-doc-0.9.6-r1.apk2025-01-09 11:44 22K 
[   ]lkrg-0.9.6-r1.apk2025-01-09 11:44 105K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 08:34 1.6K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 08:34 122K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 08:34 1.6K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 08:34 774K 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 08:34 11K 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 08:34 1.0M 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 08:34 1.7K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 08:34 295K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 08:34 2.0K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 08:34 7.4K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 08:34 31K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 08:34 1.9K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 08:34 104K 
[   ]litterbox-doc-1.9-r2.apk2025-09-13 01:00 7.2K 
[   ]litterbox-1.9-r2.apk2025-09-13 01:00 32K 
[   ]litehtml-static-0.9-r2.apk2025-02-17 12:07 485K 
[   ]litehtml-dev-0.9-r2.apk2025-02-17 12:07 42K 
[   ]litehtml-0.9-r2.apk2025-02-17 12:07 281K 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 20:06 57K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 20:06 32M 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-19 22:34 21K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-19 22:34 39K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-19 22:34 15K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-19 22:34 83K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-19 22:34 39K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-19 22:34 11K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-19 22:34 40K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-19 22:34 35K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-19 22:34 4.4K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-19 22:34 38K 
[   ]linuxptp-4.4-r0.apk2024-11-19 22:34 1.2K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 20:06 5.1K 
[   ]linux-gpib-udev-4.3.7-r0.apk2025-12-06 23:07 2.6K 
[   ]linux-gpib-doc-4.3.7-r0.apk2025-12-06 23:07 326K 
[   ]linux-gpib-dev-4.3.7-r0.apk2025-12-06 23:07 7.7K 
[   ]linux-gpib-4.3.7-r0.apk2025-12-06 23:07 58K 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 20:06 197K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 20:06 2.6M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 20:06 250K 
[   ]linphone-5.3.38-r0.apk2024-10-25 20:06 9.0M 
[   ]linkchecker-pyc-10.6.0-r0.apk2025-08-10 11:38 254K 
[   ]linkchecker-doc-10.6.0-r0.apk2025-08-10 11:38 39K 
[   ]linkchecker-10.6.0-r0.apk2025-08-10 11:38 181K 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 20:06 1.2M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 20:06 8.4K 
[   ]limnoria-20240828-r0.apk2024-10-25 20:06 1.1M 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 20:06 18K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 20:06 18K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-11 20:40 274K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-11 20:40 14K 
[   ]libzvbi-0.2.44-r0.apk2025-03-11 20:40 222K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:55 38K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:55 164K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 22:45 63K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 22:45 72K 
[   ]libxo-1.7.5-r0.apk2025-01-12 22:45 175K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-13 07:45 29K 
[   ]libxml++-5.4.0-r0.apk2025-02-13 07:45 61K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 20:06 1.7K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 20:06 1.5K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 20:06 4.7K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 20:06 28K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 20:06 9.0K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 20:06 61K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 20:06 5.7K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 20:06 9.9K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 20:06 116K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 20:06 190K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 20:06 325K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 20:06 109K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 20:06 2.9K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 20:06 13K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 20:06 51K 
[   ]libvalkey-tls-0.2.1-r0.apk2025-11-17 11:56 6.0K 
[   ]libvalkey-dev-0.2.1-r0.apk2025-11-17 11:56 309K 
[   ]libvalkey-0.2.1-r0.apk2025-11-17 11:56 56K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 20:06 72K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 20:06 2.0K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 20:06 3.4K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 20:06 425K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:08 4.6K 
[   ]libuecc-7-r4.apk2025-03-03 16:08 8.9K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 20:06 8.8K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 20:06 78K 
[   ]libucl-0.9.0-r0.apk2024-10-25 20:06 52K 
[   ]libtsm-dev-4.1.0-r0.apk2025-07-08 06:01 11K 
[   ]libtsm-4.1.0-r0.apk2025-07-08 06:01 25K 
[   ]libtins-doc-4.5-r2.apk2025-10-15 08:21 2.3K 
[   ]libtins-dev-4.5-r2.apk2025-10-15 08:21 138K 
[   ]libtins-4.5-r2.apk2025-10-15 08:21 285K 
[   ]libtatsu-dev-1.0.5-r0.apk2025-10-07 21:03 22K 
[   ]libtatsu-1.0.5-r0.apk2025-10-07 21:03 16K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-04-29 19:46 159K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-04-29 19:46 81K 
[   ]libstirshaken-0_git20240208-r4.apk2025-04-29 19:46 52K 
[   ]libspatialindex-dev-2.1.0-r1.apk2025-11-10 04:37 21K 
[   ]libspatialindex-2.1.0-r1.apk2025-11-10 04:37 307K 
[   ]libsirocco-dev-2.1.1-r0.apk2025-08-31 17:16 2.2K 
[   ]libsirocco-2.1.1-r0.apk2025-08-31 17:16 56K 
[   ]libsimplebluez-0.10.3-r0.apk2025-07-15 08:22 132K 
[   ]libsimpleble-c-0.10.3-r0.apk2025-07-15 08:22 14K 
[   ]libsimpleble-0.10.3-r0.apk2025-07-15 08:22 185K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 20:06 37K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 20:06 333K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 20:06 31K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 20:06 479K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 20:06 39K 
[   ]libserialport-0.1.1-r1.apk2024-10-25 20:06 22K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 18:56 1.4M 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 18:56 335K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 18:56 610K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 20:06 23K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 20:06 137K 
[   ]libsemanage-3.6-r1.apk2024-10-25 20:06 89K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 20:06 3.8K 
[   ]libsds-2.0.0-r1.apk2024-10-25 20:06 9.6K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 20:06 126K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 20:06 95K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 20:06 111K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 20:06 368K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 20:06 871K 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 20:06 688K 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 20:06 18M 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-10 20:24 2.3M 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 20:06 82K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 20:06 440K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-25 20:06 865K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 20:06 161K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 20:06 457K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 20:06 271K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 20:06 387K 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 20:06 144K 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 20:06 6.7M 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 20:06 2.6M 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 20:06 162K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 20:06 8.6K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 20:06 173K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 20:06 833K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 20:06 841K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 20:06 147K 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 20:06 33K 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 20:06 9.7M 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 20:06 119K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 20:06 562K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 20:06 258K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 20:06 293K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 20:06 223K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 20:06 590K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 20:06 352K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 20:06 1.4M 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 20:06 281K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 20:06 343K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 20:06 269K 
[   ]libresprite-doc-1.2-r0.apk2025-04-13 22:16 15K 
[   ]libresprite-1.2-r0.apk2025-04-13 22:16 15M 
[   ]librespot-openrc-0.8.0-r0.apk2025-11-19 18:22 1.9K 
[   ]librespot-0.8.0-r0.apk2025-11-19 18:22 2.4M 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 20:06 45K 
[   ]libre-dev-4.1.0-r0.apk2025-10-03 07:59 426K 
[   ]libre-4.1.0-r0.apk2025-10-03 07:59 255K 
[   ]libqtdbustest-0.4.0-r0.apk2025-09-03 19:33 28K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-17 12:07 63K 
[   ]libqd-static-2.3.24-r0.apk2024-10-25 20:06 236K 
[   ]libqd-doc-2.3.24-r0.apk2024-10-25 20:06 182K 
[   ]libqd-dev-2.3.24-r0.apk2024-10-25 20:06 58K 
[   ]libqd-2.3.24-r0.apk2024-10-25 20:06 147K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 20:06 134K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 20:06 155K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 20:06 118K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 20:06 104K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 20:06 20K 
[   ]libofx-0.10.9-r1.apk2024-10-25 20:06 62K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 20:06 28K 
[   ]libnxml-0.18.3-r0.apk2024-10-25 20:06 19K 
[   ]libntl-static-11.6.0-r0.apk2025-11-08 07:13 1.6M 
[   ]libntl-doc-11.6.0-r0.apk2025-11-08 07:13 366K 
[   ]libntl-dev-11.6.0-r0.apk2025-11-08 07:13 157K 
[   ]libntl-11.6.0-r0.apk2025-11-08 07:13 1.0M 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 20:06 2.7K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 20:06 117K 
[   ]libnih-1.0.3-r7.apk2024-10-25 20:06 120K 
[   ]libnfcdef-dev-1.0.1-r1.apk2025-08-08 23:40 5.7K 
[   ]libnfcdef-1.0.1-r1.apk2025-08-08 23:40 11K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 04:42 70K 
[   ]libnest2d-0.4-r7.apk2025-02-06 04:42 1.2K 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 20:06 1.1M 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 20:06 7.0K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 20:06 25K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 20:06 12K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 20:06 68K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 20:06 81K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 20:06 29K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 20:06 18K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 20:06 42K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 20:06 19K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 20:06 5.4K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 20:06 28K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 20:06 8.2K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 20:06 113K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 20:06 101K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 20:06 14K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 20:06 35K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 20:06 8.9K 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 20:06 93K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 20:06 2.3M 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 20:06 722K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:06 236K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:06 24K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:06 223K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:06 142K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:06 32K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:06 132K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 20:06 20K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 20:06 152K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 20:06 3.7K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 20:06 4.2K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 20:06 7.3K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 20:06 82K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 20:06 70K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 20:06 9.3K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 20:06 20K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 20:06 56K 
[   ]libirecovery-progs-1.3.0-r0.apk2025-10-07 21:03 8.3K 
[   ]libirecovery-dev-1.3.0-r0.apk2025-10-07 21:03 4.2K 
[   ]libirecovery-1.3.0-r0.apk2025-10-07 21:03 26K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 08:58 14K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 20:06 71K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 20:06 21K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 20:06 18K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 20:06 13K 
[   ]libiio-0.25-r2.apk2024-10-25 20:06 47K 
[   ]libigraph-dev-1.0.0-r0.apk2025-10-27 22:18 90K 
[   ]libigraph-1.0.0-r0.apk2025-10-27 22:18 1.6M 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:44 2.2K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:44 3.3K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:44 16K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 20:06 13K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 20:06 5.4K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 20:06 6.0K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 20:06 17K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 20:06 14K 
[   ]libguestfs-static-1.56.1-r0.apk2025-07-24 01:27 475K 
[   ]libguestfs-doc-1.56.1-r0.apk2025-07-24 01:27 569K 
[   ]libguestfs-dev-1.56.1-r0.apk2025-07-24 01:27 29K 
[   ]libguestfs-1.56.1-r0.apk2025-07-24 01:27 345K 
[   ]libgrapheme-doc-2.0.2-r0.apk2025-07-24 01:27 21K 
[   ]libgrapheme-dev-2.0.2-r0.apk2025-07-24 01:27 30K 
[   ]libgrapheme-2.0.2-r0.apk2025-07-24 01:27 23K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-14 21:14 25K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-14 21:14 5.5K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-14 21:14 12K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 20:06 95K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 20:06 244K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 20:06 74K 
[   ]libgdcm-3.2.2-r3.apk2025-12-02 04:28 2.8M 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 20:06 17K 
[   ]libfort-0.4.2-r0.apk2024-10-25 20:06 29K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 20:06 96K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 20:06 75K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 20:06 54K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 20:06 7.9K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 20:06 194K 
[   ]libetebase-dev-0.5.8-r0.apk2025-09-27 19:40 11K 
[   ]libetebase-0.5.8-r0.apk2025-09-27 19:40 875K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 20:06 18K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 20:06 36K 
[   ]libemf2svg-utils-1.1.0-r3.apk2025-10-07 21:03 17K 
[   ]libemf2svg-1.1.0-r3.apk2025-10-07 21:03 141K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 20:06 19K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 20:06 11K 
[   ]libecap-1.0.1-r1.apk2024-10-25 20:06 13K 
[   ]libeantic-dev-2.1.0-r2.apk2025-11-26 08:23 18K 
[   ]libeantic-2.1.0-r2.apk2025-11-26 08:23 74K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 22:09 5.8K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 22:09 4.2K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 22:09 3.2K 
[   ]libdng-0.2.1-r0.apk2024-12-27 22:09 11K 
[   ]libdcmtk-3.6.9-r0.apk2025-04-07 22:06 6.4M 
[   ]libdbusaccess-dev-1.0.20-r1.apk2025-08-08 23:40 5.0K 
[   ]libdbusaccess-1.0.20-r1.apk2025-08-08 23:40 15K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 20:06 21K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 20:06 8.6K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 20:06 13K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 20:06 19K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 20:06 3.0K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 20:06 39K 
[   ]libctl-4.5.1-r1.apk2024-10-25 20:06 87K 
[   ]libcpdf-static-2.8.1-r0.apk2025-05-14 21:14 3.2M 
[   ]libcpdf-dev-2.8.1-r0.apk2025-05-14 21:14 17K 
[   ]libcpdf-2.8.1-r0.apk2025-05-14 21:14 2.3M 
[   ]libcotp-dev-3.1.1-r0.apk2025-10-03 22:25 2.5K 
[   ]libcotp-3.1.1-r0.apk2025-10-03 22:25 7.9K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 20:06 12K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 20:06 8.1K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 20:06 11K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 20:06 4.6K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 20:06 30K 
[   ]libcork-0.15.0-r7.apk2024-10-25 20:06 29K 
[   ]libcob4-3.2-r0.apk2025-07-28 14:02 206K 
[   ]libcli-1.10.7-r0.apk2024-10-25 20:06 26K 
[   ]libcec-rpi-dev-6.0.2-r4.apk2024-10-25 20:06 25K 
[   ]libcec-rpi-6.0.2-r4.apk2024-10-25 20:06 170K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 20:06 39K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 20:06 42K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-19 22:34 15K 
[   ]libbraiding-1.3.1-r0.apk2024-11-19 22:34 79K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 20:06 31K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 20:06 6.4K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 20:06 133K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 20:06 8.0K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 20:06 5.5K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 20:06 4.5K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 20:06 28K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 20:06 30K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 20:06 4.3K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 20:06 28K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 12:30 863K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 12:30 397K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 20:06 58K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 20:06 38K 
[   ]libabigail-tools-2.8-r0.apk2025-11-02 19:50 114K 
[   ]libabigail-doc-2.8-r0.apk2025-11-02 19:50 77K 
[   ]libabigail-dev-2.8-r0.apk2025-11-02 19:50 1.6M 
[   ]libabigail-bash-completion-2.8-r0.apk2025-11-02 19:50 2.8K 
[   ]libabigail-2.8-r0.apk2025-11-02 19:50 936K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 15:01 8.5K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 15:01 357K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 20:06 134K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 20:06 2.8K 
[   ]lfm-3.1-r4.apk2024-10-25 20:06 88K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 20:06 46K 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-25 15:06 6.0K 
[   ]leptosfmt-0.1.33-r0.apk2025-03-25 15:06 1.0M 
[   ]legume-doc-1.4.2-r14.apk2025-12-04 13:54 12K 
[   ]legume-1.4.2-r14.apk2025-12-04 13:54 1.5M 
[   ]lefthook-doc-2.0.4-r1.apk2025-12-04 13:54 2.2K 
[   ]lefthook-2.0.4-r1.apk2025-12-04 13:54 5.8M 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 20:06 14K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 20:06 76K 
[   ]ldapdomaindump-pyc-0.10.0-r0.apk2025-07-30 19:18 30K 
[   ]ldapdomaindump-0.10.0-r0.apk2025-07-30 19:18 18K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-03 08:31 233K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-03 08:31 437K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-03 08:31 51K 
[   ]lcalc-2.1.0-r0.apk2025-05-03 08:31 170K 
[   ]lbb-doc-0.10.4-r1.apk2025-12-04 13:54 20K 
[   ]lbb-0.10.4-r1.apk2025-12-04 13:54 3.2M 
[   ]laze-zsh-completion-0.1.38-r0.apk2025-07-24 01:27 3.7K 
[   ]laze-fish-completion-0.1.38-r0.apk2025-07-24 01:27 3.4K 
[   ]laze-doc-0.1.38-r0.apk2025-07-24 01:27 3.6K 
[   ]laze-bash-completion-0.1.38-r0.apk2025-07-24 01:27 3.1K 
[   ]laze-0.1.38-r0.apk2025-07-24 01:27 1.1M 
[   ]lavacli-pyc-2.4-r0.apk2025-06-15 04:01 88K 
[   ]lavacli-doc-2.4-r0.apk2025-06-15 04:01 34K 
[   ]lavacli-2.4-r0.apk2025-06-15 04:01 49K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 20:06 13K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 20:06 13K 
[   ]kubeseal-doc-0.32.1-r2.apk2025-12-04 13:54 5.5K 
[   ]kubeseal-0.32.1-r2.apk2025-12-04 13:54 12M 
[   ]kubepug-zsh-completion-1.7.1-r15.apk2025-12-04 13:54 4.1K 
[   ]kubepug-fish-completion-1.7.1-r15.apk2025-12-04 13:54 4.3K 
[   ]kubepug-bash-completion-1.7.1-r15.apk2025-12-04 13:54 5.1K 
[   ]kubepug-1.7.1-r15.apk2025-12-04 13:54 16M 
[   ]kubeconform-0.7.0-r3.apk2025-12-04 13:54 3.6M 
[   ]kube-no-trouble-0.7.3-r10.apk2025-12-04 13:54 13M 
[   ]ktx-libs-4.3.2-r1.apk2025-06-10 02:49 1.3M 
[   ]ktx-dev-4.3.2-r1.apk2025-06-10 02:49 29K 
[   ]ktx-4.3.2-r1.apk2025-06-10 02:49 1.1M 
[   ]kronosnet-doc-1.30-r0.apk2025-05-27 23:07 106K 
[   ]kronosnet-dev-1.30-r0.apk2025-05-27 23:07 2.4M 
[   ]kronosnet-1.30-r0.apk2025-05-27 23:07 78K 
[   ]kraftkit-zsh-completion-0.12.3-r1.apk2025-12-04 13:54 4.0K 
[   ]kraftkit-fish-completion-0.12.3-r1.apk2025-12-04 13:54 4.3K 
[   ]kraftkit-doc-0.12.3-r1.apk2025-12-04 13:54 73K 
[   ]kraftkit-bash-completion-0.12.3-r1.apk2025-12-04 13:54 6.1K 
[   ]kraftkit-0.12.3-r1.apk2025-12-04 13:54 20M 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 20:06 2.4K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 20:06 2.1K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 20:06 2.1K 
[   ]kondo-0.8-r0.apk2024-10-25 20:06 689K 
[   ]kompose-zsh-completion-1.31.2-r15.apk2025-12-04 13:54 6.8K 
[   ]kompose-fish-completion-1.31.2-r15.apk2025-12-04 13:54 4.4K 
[   ]kompose-bash-completion-1.31.2-r15.apk2025-12-04 13:54 5.6K 
[   ]kompose-1.31.2-r15.apk2025-12-04 13:54 7.2M 
[   ]komikku-pyc-1.85.0-r0.apk2025-08-22 08:07 798K 
[   ]komikku-lang-1.85.0-r0.apk2025-08-22 08:07 284K 
[   ]komikku-1.85.0-r0.apk2025-08-22 08:07 444K 
[   ]ko-zsh-completion-0.17.1-r10.apk2025-12-04 13:54 4.0K 
[   ]ko-fish-completion-0.17.1-r10.apk2025-12-04 13:54 4.3K 
[   ]ko-bash-completion-0.17.1-r10.apk2025-12-04 13:54 5.0K 
[   ]ko-0.17.1-r10.apk2025-12-04 13:54 10M 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 18:56 24K 
[   ]knxd-0.14.61-r1.apk2024-12-14 18:56 374K 
[   ]knative-client-zsh-completion-1.19.6-r1.apk2025-12-04 13:54 4.0K 
[   ]knative-client-fish-completion-1.19.6-r1.apk2025-12-04 13:54 4.3K 
[   ]knative-client-bash-completion-1.19.6-r1.apk2025-12-04 13:54 10K 
[   ]knative-client-1.19.6-r1.apk2025-12-04 13:54 23M 
[   ]kmscon-systemd-9.1.0-r1.apk2025-10-30 14:14 2.7K 
[   ]kmscon-doc-9.1.0-r1.apk2025-10-30 14:14 8.4K 
[   ]kmscon-9.1.0-r1.apk2025-10-30 14:14 834K 
[   ]klong-20221212-r0.apk2025-06-07 15:16 332K 
[   ]kjv-0_git20221103-r0.apk2024-10-25 20:06 1.5M 
[   ]kismet-nxp-kw41z-0.202509.1-r0.apk2025-10-09 04:08 42K 
[   ]kismet-nrf-51822-0.202509.1-r0.apk2025-10-09 04:08 41K 
[   ]kismet-logtools-0.202509.1-r0.apk2025-10-09 04:08 1.1M 
[   ]kismet-linux-wifi-0.202509.1-r0.apk2025-10-09 04:08 62K 
[   ]kismet-linux-bluetooth-0.202509.1-r0.apk2025-10-09 04:08 43K 
[   ]kismet-0.202509.1-r0.apk2025-10-09 04:08 12M 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 11:09 2.8K 
[   ]kirc-0.3.3-r0.apk2025-02-22 11:09 15K 
[   ]kine-doc-0.10.1-r18.apk2025-12-04 13:54 5.2K 
[   ]kine-0.10.1-r18.apk2025-12-04 13:54 7.8M 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-10 21:13 476K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-10 21:13 172K 
[   ]kimchi-3.0.0-r8.apk2025-02-10 21:13 529K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 20:06 26K 
[   ]khronos-4.0.1-r0.apk2024-10-25 20:06 49K 
[   ]khinsider-2.0.7-r25.apk2025-12-04 13:54 3.5M 
[   ]kfc-0.1.4-r0.apk2024-10-25 20:06 58K 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 20:06 9.7K 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 20:06 1.6M 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 20:06 7.3K 
[   ]keystone-0.9.2-r6.apk2024-10-25 20:06 1.4M 
[   ]keybase-client-6.2.8-r15.apk2025-12-04 13:54 18M 
[   ]kew-doc-3.6.4-r0.apk2025-10-21 05:32 3.6K 
[   ]kew-3.6.4-r0.apk2025-10-21 05:32 499K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 11:57 15K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 11:57 9.3K 
[   ]kdiskmark-lang-3.2.0-r0.apk2025-07-15 17:20 32K 
[   ]kdiskmark-3.2.0-r0.apk2025-07-15 17:20 170K 
[   ]kcbench-doc-0.9.13-r0.apk2025-10-23 20:58 20K 
[   ]kcbench-0.9.13-r0.apk2025-10-23 20:58 37K 
[   ]kbs2-zsh-completion-0.7.3-r0.apk2025-07-30 07:29 4.0K 
[   ]kbs2-fish-completion-0.7.3-r0.apk2025-07-30 07:29 3.4K 
[   ]kbs2-bash-completion-0.7.3-r0.apk2025-07-30 07:29 3.2K 
[   ]kbs2-0.7.3-r0.apk2025-07-30 07:29 1.2M 
[   ]katarakt-0.2-r1.apk2025-01-29 19:00 80K 
[   ]katana-doc-1.2.2-r3.apk2025-12-04 13:54 2.3K 
[   ]katana-1.2.2-r3.apk2025-12-04 13:54 14M 
[   ]kapow-0.7.1-r18.apk2025-12-04 13:54 3.6M 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 20:06 3.5K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 20:06 54K 
[   ]k3sup-zsh-completion-0.13.6-r10.apk2025-12-04 13:54 4.0K 
[   ]k3sup-fish-completion-0.13.6-r10.apk2025-12-04 13:54 4.3K 
[   ]k3sup-bash-completion-0.13.6-r10.apk2025-12-04 13:54 5.0K 
[   ]k3sup-0.13.6-r10.apk2025-12-04 13:54 2.7M 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 18:18 847K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 20:06 24K 
[   ]junit2html-31.0.2-r0.apk2024-10-25 20:06 17K 
[   ]jsonnet-language-server-0.16.0-r1.apk2025-12-04 13:54 4.3M 
[   ]jsonnet-bundler-0.6.0-r10.apk2025-12-04 13:54 3.3M 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 20:06 2.3K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 20:06 1.9K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 20:06 5.2K 
[   ]json2tsv-1.2-r0.apk2024-10-25 20:06 6.6K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 20:06 4.7K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 20:06 572K 
[   ]jotdown-0.7.0-r0.apk2025-03-11 20:12 233K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 20:06 7.9K 
[   ]jhead-3.08-r0.apk2024-10-25 20:06 34K 
[   ]jfrog-cli-2.45.0-r17.apk2025-12-04 13:54 9.0M 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-04-29 19:46 50K 
[   ]jedi-language-server-0.45.1-r0.apk2025-04-29 19:46 32K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 20:06 9.0K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 20:06 29K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 20:06 12K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 20:06 89K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 20:06 7.3K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 20:06 29K 
[   ]jbigkit-2.1-r2.apk2024-10-25 20:06 65K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 20:06 219K 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 20:06 12K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 20:06 4.0M 
[   ]java-gdcm-3.2.2-r3.apk2025-12-02 04:28 591K 
[   ]jaq-doc-2.3.0-r0.apk2025-07-24 01:27 2.2K 
[   ]jaq-2.3.0-r0.apk2025-07-24 01:27 784K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 20:06 32K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 20:06 3.2K 
[   ]jalv-1.6.8-r1.apk2024-10-25 20:06 46K 
[   ]jackdaw-pyc-0.3.1-r2.apk2025-05-29 11:57 364K 
[   ]jackdaw-0.3.1-r2.apk2025-05-29 11:57 2.0M 
[   ]jackal-openrc-0.64.0-r20.apk2025-12-04 13:54 1.8K 
[   ]jackal-0.64.0-r20.apk2025-12-04 13:54 12M 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 20:06 32K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 20:06 30K 
[   ]isomd5sum-doc-1.2.5-r0.apk2025-07-15 08:40 3.0K 
[   ]isomd5sum-1.2.5-r0.apk2025-07-15 08:40 19K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 20:06 6.3K 
[   ]ircdog-0.5.4-r10.apk2025-12-04 13:54 2.5M 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 04:01 3.6K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 04:01 329K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 20:06 1.8K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 20:06 80K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 20:06 9.6K 
[   ]irccd-4.0.3-r0.apk2024-10-25 20:06 243K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 20:06 4.5K 
[   ]iprange-1.0.4-r1.apk2024-10-25 20:06 22K 
[   ]ipp-usb-openrc-0.9.30-r5.apk2025-12-04 13:54 1.7K 
[   ]ipp-usb-doc-0.9.30-r5.apk2025-12-04 13:54 8.8K 
[   ]ipp-usb-0.9.30-r5.apk2025-12-04 13:54 2.5M 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 20:06 2.7K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 20:06 12K 
[   ]ip2location-8.6.1-r0.apk2024-10-25 20:06 22K 
[   ]invidtui-0.4.6-r10.apk2025-12-04 13:54 4.0M 
[   ]interception-tools-openrc-0.6.8-r3.apk2025-10-12 14:19 1.7K 
[   ]interception-tools-0.6.8-r3.apk2025-10-12 14:19 98K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 20:06 5.4K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 20:06 2.3K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 20:06 4.5K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 20:06 9.0K 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 20:06 3.8K 
[   ]innernet-1.6.1-r0.apk2024-10-25 20:06 2.8M 
[   ]initify-0_git20171210-r1.apk2024-10-25 20:06 3.3K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 08:58 1.7K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 08:58 4.1K 
[   ]infnoise-0.3.3-r0.apk2025-05-26 08:58 15K 
[   ]incus-ui-canonical-0.18.0-r0.apk2025-08-22 12:17 4.7M 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 20:06 20K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 20:06 8.2K 
[   ]imgdiff-doc-1.0.2-r31.apk2025-12-04 13:54 2.3K 
[   ]imgdiff-1.0.2-r31.apk2025-12-04 13:54 1.0M 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 20:06 44K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 20:06 6.5K 
[   ]imediff-2.6-r1.apk2024-10-25 20:06 42K 
[   ]imapgoose-systemd-0.4.1-r1.apk2025-12-04 13:54 1.8K 
[   ]imapgoose-openrc-0.4.1-r1.apk2025-12-04 13:54 1.7K 
[   ]imapgoose-doc-0.4.1-r1.apk2025-12-04 13:54 5.7K 
[   ]imapgoose-0.4.1-r1.apk2025-12-04 13:54 2.5M 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 20:06 13K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 20:06 37K 
[   ]ijq-doc-1.2.0-r2.apk2025-12-04 13:54 3.6K 
[   ]ijq-1.2.0-r2.apk2025-12-04 13:54 1.4M 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 20:06 4.1K 
[   ]igrep-1.2.0-r0.apk2024-10-25 20:06 1.6M 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:44 2.3K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:44 9.8K 
[   ]idevicerestore-doc-1.0.0_git20250914-r0.apk2025-10-07 21:03 3.4K 
[   ]idevicerestore-1.0.0_git20250914-r0.apk2025-10-07 21:03 103K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:44 2.5K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:44 15K 
[   ]idesk-1-r1.apk2024-10-25 20:06 64K 
[   ]identme-0.6.0-r0.apk2025-04-03 12:33 47K 
[   ]identities-0.2.2-r0.apk2025-10-18 15:40 17K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2025-09-29 06:12 1.8K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2025-09-29 06:12 8.7K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2025-09-29 06:12 1.8K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2025-09-29 06:12 6.3K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2025-09-29 06:12 231K 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2025-09-29 06:12 11K 
[   ]icingaweb2-module-businessprocess-doc-2.5.2-r0.apk2025-09-29 06:12 2.0M 
[   ]icingaweb2-module-businessprocess-2.5.2-r0.apk2025-09-29 06:12 110K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 20:06 17M 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 20:06 1.9K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 20:06 8.7K 
[   ]ibus-typing-booster-pyc-2.29.0-r0.apk2025-12-16 14:54 1.5M 
[   ]ibus-typing-booster-lang-2.29.0-r0.apk2025-12-16 14:54 317K 
[   ]ibus-typing-booster-2.29.0-r0.apk2025-12-16 14:54 13M 
[   ]i3status-rust-doc-0.34.0-r0.apk2025-07-24 01:27 33K 
[   ]i3status-rust-0.34.0-r0.apk2025-07-24 01:27 4.6M 
[   ]i3bar-river-systemd-1.1.0-r1.apk2025-10-29 22:52 1.9K 
[   ]i3bar-river-openrc-1.1.0-r1.apk2025-10-29 22:52 1.7K 
[   ]i3bar-river-1.1.0-r1.apk2025-10-29 22:52 521K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 20:06 4.7K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 20:06 45K 
[   ]i2util-4.2.1-r1.apk2024-10-25 20:06 23K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 20:06 2.2K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 20:06 17K 
[   ]hyprsunset-openrc-0.3.3-r1.apk2025-12-14 10:57 1.8K 
[   ]hyprsunset-doc-0.3.3-r1.apk2025-12-14 10:57 2.4K 
[   ]hyprsunset-0.3.3-r1.apk2025-12-14 10:57 128K 
[   ]hyprpicker-doc-0.4.5-r1.apk2025-12-14 10:57 3.8K 
[   ]hyprpicker-0.4.5-r1.apk2025-12-14 10:57 103K 
[   ]hyprlock-0.9.2-r0.apk2025-12-14 10:57 397K 
[   ]hypridle-openrc-0.1.7-r1.apk2025-12-14 10:57 1.7K 
[   ]hypridle-doc-0.1.7-r1.apk2025-12-14 10:57 2.4K 
[   ]hypridle-0.1.7-r1.apk2025-12-14 10:57 117K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 20:06 72K 
[   ]hypnotix-3.5-r0.apk2024-10-25 20:06 110K 
[   ]hyperrogue-doc-13.1i-r0.apk2025-12-19 03:52 8.0K 
[   ]hyperrogue-13.1i-r0.apk2025-12-19 03:52 83M 
[   ]hyfetch-zsh-completion-2.0.5-r0.apk2025-11-21 17:56 2.6K 
[   ]hyfetch-doc-2.0.5-r0.apk2025-11-21 17:56 20K 
[   ]hyfetch-bash-completion-2.0.5-r0.apk2025-11-21 17:56 3.3K 
[   ]hyfetch-2.0.5-r0.apk2025-11-21 17:56 873K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 20:06 4.8K 
[   ]hx-1.0.15-r0.apk2024-10-25 20:06 14K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 20:06 1.9K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 20:06 1.8K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 20:06 3.0K 
[   ]hwatch-0.3.11-r0.apk2024-10-25 20:06 1.0M 
[   ]hw-probe-1.6.6-r2.apk2025-06-30 07:14 124K 
[   ]hurl-zsh-completion-7.1.0-r0.apk2025-12-05 18:41 4.1K 
[   ]hurl-fish-completion-7.1.0-r0.apk2025-12-05 18:41 3.6K 
[   ]hurl-doc-7.1.0-r0.apk2025-12-05 18:41 9.2K 
[   ]hurl-bash-completion-7.1.0-r0.apk2025-12-05 18:41 2.3K 
[   ]hurl-7.1.0-r0.apk2025-12-05 18:41 2.4M 
[   ]hunspell-fr-doc-7.7-r0.apk2025-12-17 20:06 2.9K 
[   ]hunspell-fr-7.7-r0.apk2025-12-17 20:06 1.0M 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 20:06 731K 
[   ]hubble-cli-zsh-completion-0.13.6-r10.apk2025-12-04 13:54 4.1K 
[   ]hubble-cli-fish-completion-0.13.6-r10.apk2025-12-04 13:54 4.3K 
[   ]hubble-cli-bash-completion-0.13.6-r10.apk2025-12-04 13:54 5.1K 
[   ]hubble-cli-0.13.6-r10.apk2025-12-04 13:54 18M 
[   ]hub-zsh-completion-2.14.2-r36.apk2025-12-04 13:54 3.7K 
[   ]hub-fish-completion-2.14.2-r36.apk2025-12-04 13:54 3.3K 
[   ]hub-doc-2.14.2-r36.apk2025-12-04 13:54 42K 
[   ]hub-bash-completion-2.14.2-r36.apk2025-12-04 13:54 4.6K 
[   ]hub-2.14.2-r36.apk2025-12-04 13:54 2.9M 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 20:06 528K 
[   ]httrack-3.49.2-r5.apk2024-10-25 20:06 747K 
[   ]httpx-doc-1.7.4-r0.apk2025-12-10 10:25 2.3K 
[   ]httpx-1.7.4-r0.apk2025-12-10 10:25 17M 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 15:39 2.3K 
[   ]httplz-2.2.0-r0.apk2025-05-18 15:39 1.3M 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 20:06 2.3K 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 20:06 3.4K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 20:06 21K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 20:06 58K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 20:06 900K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 20:06 11K 
[   ]hpnssh-doc-18.8.0-r0.apk2025-11-28 19:39 101K 
[   ]hpnssh-18.8.0-r0.apk2025-11-28 19:39 2.8M 
[   ]hping3-doc-20051105-r4.apk2024-10-25 20:06 17K 
[   ]hping3-20051105-r4.apk2024-10-25 20:06 68K 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 20:06 72K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 20:06 63K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 20:06 21K 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 20:06 4.9K 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 20:06 4.0M 
[   ]horizon-0.9.6-r9.apk2024-10-25 20:06 199K 
[   ]homebank-lang-5.9.5-r0.apk2025-10-14 14:54 942K 
[   ]homebank-5.9.5-r0.apk2025-10-14 14:54 2.0M 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 20:06 2.1K 
[   ]hitide-0.15.0-r0.apk2024-10-25 20:06 2.1M 
[   ]hiprompt-gtk-py-0.8.0-r1.apk2025-09-17 10:03 8.0K 
[   ]himitsu-secret-service-pyc-0.1_git20250705-r1.apk2025-10-20 13:57 29K 
[   ]himitsu-secret-service-doc-0.1_git20250705-r1.apk2025-10-20 13:57 4.0K 
[   ]himitsu-secret-service-0.1_git20250705-r1.apk2025-10-20 13:57 16K 
[   ]hilbish-doc-2.3.4-r10.apk2025-12-04 13:54 25K 
[   ]hilbish-2.3.4-r10.apk2025-12-04 13:54 3.4M 
[   ]highfive-2.10.1-r0.apk2025-01-15 02:50 75K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 20:06 120K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 20:06 66K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 11:07 1.7K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 11:07 17K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 11:07 21K 
[   ]hiawatha-11.6-r1.apk2025-05-27 11:07 166K 
[   ]hfst-libs-3.16.2-r0.apk2025-03-28 16:15 1.8M 
[   ]hfst-doc-3.16.2-r0.apk2025-03-28 16:15 70K 
[   ]hfst-dev-3.16.2-r0.apk2025-03-28 16:15 209K 
[   ]hfst-3.16.2-r0.apk2025-03-28 16:15 1.3M 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 20:06 5.6K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 20:06 18K 
[   ]hex-0.6.0-r0.apk2024-10-25 20:06 301K 
[   ]herbe-1.0.0-r0.apk2024-10-25 20:06 5.6K 
[   ]helm-unittest-1.0.3-r1.apk2025-12-04 13:54 12M 
[   ]helm-mapkubeapis-0.6.1-r1.apk2025-12-04 13:54 21M 
[   ]helm-ls-doc-0.5.4-r1.apk2025-12-04 13:54 2.3K 
[   ]helm-ls-0.5.4-r1.apk2025-12-04 13:54 18M 
[   ]helm-diff-3.13.1-r1.apk2025-12-04 13:54 21M 
[   ]heisenbridge-pyc-1.15.4-r0.apk2025-10-07 21:03 155K 
[   ]heisenbridge-1.15.4-r0.apk2025-10-07 21:03 67K 
[   ]heh-doc-0.6.2-r0.apk2025-12-12 14:48 4.1K 
[   ]heh-0.6.2-r0.apk2025-12-12 14:48 491K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 20:06 187K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 20:06 6.0K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 20:06 101K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 20:06 270K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 20:06 34K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 20:06 2.2K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 20:06 33K 
[   ]hctl-0.2.7-r0.apk2025-05-14 21:13 1.3M 
[   ]haxe-doc-4.3.3-r1.apk2025-03-22 14:33 7.7K 
[   ]haxe-4.3.3-r1.apk2025-03-22 14:33 9.8M 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 20:06 3.0K 
[   ]hatop-0.8.2-r0.apk2024-10-25 20:06 18K 
[   ]hatch-pyc-1.16.1-r0.apk2025-11-30 20:01 246K 
[   ]hatch-1.16.1-r0.apk2025-11-30 20:01 115K 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 20:06 2.1M 
[   ]hashcat-6.2.6-r0.apk2024-10-25 20:06 55M 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 20:06 12K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 20:06 5.6K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 20:06 3.1K 
[   ]harminv-1.4.2-r1.apk2024-10-25 20:06 7.4K 
[   ]hardinfo2-openrc-2.2.13-r0.apk2025-09-28 11:06 2.0K 
[   ]hardinfo2-lang-2.2.13-r0.apk2025-09-28 11:06 292K 
[   ]hardinfo2-doc-2.2.13-r0.apk2025-09-28 11:06 3.0K 
[   ]hardinfo2-2.2.13-r0.apk2025-09-28 11:06 3.3M 
[   ]haproxy-dataplaneapi2-openrc-2.9.18-r2.apk2025-12-04 13:54 2.1K 
[   ]haproxy-dataplaneapi2-2.9.18-r2.apk2025-12-04 13:54 10M 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 20:06 9.5K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 20:06 32K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 20:06 97K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 20:06 358K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 20:06 206K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 20:06 116K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 20:06 2.0K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 20:06 156K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 20:06 2.4K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 20:06 2.0K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 20:06 6.9K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 20:06 2.2K 
[   ]halp-0.2.0-r0.apk2024-10-25 20:06 930K 
[   ]habitctl-0.1.0-r2.apk2024-10-25 20:06 330K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 20:06 115K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 20:06 2.7K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 20:06 8.8K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 20:06 123K 
[   ]gyosu-0.2.0-r3.apk2025-12-04 13:54 1.8M 
[   ]gx-go-doc-1.9.0-r37.apk2025-12-04 13:54 2.3K 
[   ]gx-go-1.9.0-r37.apk2025-12-04 13:54 4.9M 
[   ]gx-doc-0.14.3-r35.apk2025-12-04 13:54 2.3K 
[   ]gx-0.14.3-r35.apk2025-12-04 13:54 4.7M 
[   ]gupnp-doc-1.6.9-r1.apk2025-08-08 23:40 3.8K 
[   ]gupnp-dlna-dev-0.12.0-r1.apk2025-08-08 23:40 24K 
[   ]gupnp-dlna-0.12.0-r1.apk2025-08-08 23:40 61K 
[   ]gupnp-dev-1.6.9-r1.apk2025-08-08 23:40 50K 
[   ]gupnp-av-dev-0.14.4-r1.apk2025-08-08 23:40 42K 
[   ]gupnp-av-0.14.4-r1.apk2025-08-08 23:40 74K 
[   ]gupnp-1.6.9-r1.apk2025-08-08 23:40 84K 
[   ]guish-doc-2.6.11-r0.apk2024-12-24 10:42 61K 
[   ]guish-2.6.11-r0.apk2024-12-24 10:42 88K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 22:34 65K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 22:34 855K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 22:34 4.5K 
[   ]gufw-24.04-r3.apk2024-11-19 22:34 596K 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 07:49 2.2M 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 07:49 140K 
[   ]guestfs-tools-1.56.1-r0.apk2025-07-24 01:27 288K 
[   ]guake-pyc-3.10.1-r0.apk2025-11-24 18:07 186K 
[   ]guake-lang-3.10.1-r0.apk2025-11-24 18:07 194K 
[   ]guake-3.10.1-r0.apk2025-11-24 18:07 304K 
[   ]gtypist-lang-2.10.1-r0.apk2025-10-12 20:59 26K 
[   ]gtypist-doc-2.10.1-r0.apk2025-10-12 20:59 104K 
[   ]gtypist-2.10.1-r0.apk2025-10-12 20:59 636K 
[   ]gtranslator-lang-49.0-r0.apk2025-09-15 07:46 593K 
[   ]gtranslator-doc-49.0-r0.apk2025-09-15 07:46 638K 
[   ]gtranslator-49.0-r0.apk2025-09-15 07:46 133K 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 20:06 27K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 20:06 2.5M 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 16:16 3.0K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 16:16 18K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 20:06 47K 
[   ]gtkhash-1.5-r0.apk2024-10-25 20:06 86K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 16:16 5.3K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 16:16 24K 
[   ]gtimelog-pyc-0.12_git20251114-r0.apk2025-11-18 20:35 102K 
[   ]gtimelog-0.12_git20251114-r0.apk2025-11-18 20:35 219K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-17 12:07 310K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-17 12:07 409K 
[   ]gst-video-thumbnailer-1.0_alpha1-r1.apk2025-12-13 00:47 288K 
[   ]gst-thumbnailers-1.0_alpha1-r1.apk2025-12-13 00:47 1.3K 
[   ]gst-audio-thumbnailer-1.0_alpha1-r1.apk2025-12-13 00:47 274K 
[   ]gssdp-dev-1.6.4-r1.apk2025-08-08 23:40 16K 
[   ]gssdp-1.6.4-r1.apk2025-08-08 23:40 43K 
[   ]gsimplecal-doc-2.5.2-r0.apk2025-10-16 18:48 5.8K 
[   ]gsimplecal-2.5.2-r0.apk2025-10-16 18:48 16K 
[   ]gsettings-qt-dev-1.1.0-r0.apk2025-12-10 10:25 3.5K 
[   ]gsettings-qt-1.1.0-r0.apk2025-12-10 10:25 27K 
[   ]grpcurl-1.9.3-r8.apk2025-12-04 13:54 8.0M 
[   ]grpcui-1.5.1-r4.apk2025-12-04 13:54 8.4M 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 20:06 144K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 20:06 6.2K 
[   ]grip-4.2.4-r0.apk2024-10-25 20:06 377K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 20:06 3.3K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 20:06 2.2K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 20:06 19K 
[   ]grcov-0.8.20-r0.apk2024-11-11 10:00 2.0M 
[   ]gradia-lang-1.9.0-r0.apk2025-09-05 06:56 56K 
[   ]gradia-dev-1.9.0-r0.apk2025-09-05 06:56 2.5K 
[   ]gradia-1.9.0-r0.apk2025-09-05 06:56 531K 
[   ]gr-satellites-doc-5.5.0-r6.apk2025-10-12 11:34 4.5K 
[   ]gr-satellites-dev-5.5.0-r6.apk2025-10-12 11:34 13K 
[   ]gr-satellites-5.5.0-r6.apk2025-10-12 11:34 476K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 06:36 17K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 06:36 285K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 06:36 23K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 06:36 46K 
[   ]gprbuild-25.0.0-r0.apk2025-10-29 02:55 14M 
[   ]goxel-0.15.1-r0.apk2024-10-25 20:06 1.6M 
[   ]gotify-openrc-2.7.2-r2.apk2025-12-04 13:54 2.0K 
[   ]gotify-cli-2.3.2-r10.apk2025-12-04 13:54 4.2M 
[   ]gotify-2.7.2-r2.apk2025-12-04 13:54 11M 
[   ]goshs-doc-1.1.3-r0.apk2025-12-18 21:15 2.3K 
[   ]goshs-1.1.3-r0.apk2025-12-18 21:15 6.2M 
[   ]goreman-0.3.15-r18.apk2025-12-04 13:54 2.5M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 20:06 45K 
[   ]gomp-1.0.0-r17.apk2025-12-04 13:54 3.6M 
[   ]godini-doc-1.0.0-r5.apk2025-12-04 13:54 15K 
[   ]godini-1.0.0-r5.apk2025-12-04 13:54 1.5M 
[   ]gobuster-3.8.0-r3.apk2025-12-04 13:54 3.6M 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-25 20:06 1.7M 
[   ]go-tools-0.40.0-r0.apk2025-12-12 15:18 45M 
[   ]go-passbolt-cli-0.3.2-r8.apk2025-12-04 13:54 6.0M 
[   ]go-mtpfs-1.0.0-r32.apk2025-12-04 13:54 1.2M 
[   ]go-jsonnet-0.21.0-r5.apk2025-12-04 13:54 6.4M 
[   ]go-away-openrc-0.7.0-r1.apk2025-09-05 06:56 2.3K 
[   ]go-away-0.7.0-r1.apk2025-09-05 06:56 7.9M 
[   ]gnucobol-lang-3.2-r0.apk2025-07-28 14:02 316K 
[   ]gnucobol-doc-3.2-r0.apk2025-07-28 14:02 71K 
[   ]gnucobol-3.2-r0.apk2025-07-28 14:02 815K 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 20:06 1.6M 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 20:06 585K 
[   ]gnu-apl-1.9-r0.apk2024-10-25 20:06 1.3M 
[   ]gnome-user-share-systemd-48.2-r0.apk2025-11-23 10:47 1.7K 
[   ]gnome-user-share-lang-48.2-r0.apk2025-11-23 10:47 68K 
[   ]gnome-user-share-48.2-r0.apk2025-11-23 10:47 310K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 20:06 25K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 20:06 457K 
[   ]gnome-mahjongg-lang-49.0.1-r0.apk2025-10-18 21:03 170K 
[   ]gnome-mahjongg-doc-49.0.1-r0.apk2025-10-18 21:03 2.2K 
[   ]gnome-mahjongg-49.0.1-r0.apk2025-10-18 21:03 2.4M 
[   ]gnome-latex-lang-3.48.0-r0.apk2025-04-07 21:29 530K 
[   ]gnome-latex-doc-3.48.0-r0.apk2025-04-07 21:29 108K 
[   ]gnome-latex-3.48.0-r0.apk2025-04-07 21:29 358K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 20:06 12K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 19:26 2.2K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 19:26 14K 
[   ]gmid-2.1.1-r0.apk2024-11-27 19:26 207K 
[   ]gmic-qt-3.6.0-r1.apk2025-10-25 08:43 1.6M 
[   ]gmic-libs-3.6.0-r1.apk2025-10-25 08:43 3.2M 
[   ]gmic-doc-3.6.0-r1.apk2025-10-25 08:43 223K 
[   ]gmic-dev-3.6.0-r1.apk2025-10-25 08:43 7.6K 
[   ]gmic-bash-completion-3.6.0-r1.apk2025-10-25 08:43 29K 
[   ]gmic-3.6.0-r1.apk2025-10-25 08:43 12M 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-17 12:07 4.1K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-17 12:07 34K 
[   ]gmcapsule-pyc-0.9.8-r0.apk2025-10-07 21:03 61K 
[   ]gmcapsule-openrc-0.9.8-r0.apk2025-10-07 21:03 2.0K 
[   ]gmcapsule-0.9.8-r0.apk2025-10-07 21:03 36K 
[   ]glslviewer-3.2.4-r2.apk2025-08-28 17:44 1.6M 
[   ]glow-zsh-completion-2.1.1-r5.apk2025-12-04 13:54 4.0K 
[   ]glow-fish-completion-2.1.1-r5.apk2025-12-04 13:54 4.3K 
[   ]glow-doc-2.1.1-r5.apk2025-12-04 13:54 3.2K 
[   ]glow-bash-completion-2.1.1-r5.apk2025-12-04 13:54 6.1K 
[   ]glow-2.1.1-r5.apk2025-12-04 13:54 6.0M 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 20:06 928K 
[   ]gloox-1.0.28-r0.apk2024-10-25 20:06 352K 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 20:06 13K 
[   ]glmark2-2023.01-r1.apk2024-10-25 20:06 8.0M 
[   ]gliderlabs-sigil-doc-0.11.0-r10.apk2025-12-04 13:54 2.4K 
[   ]gliderlabs-sigil-0.11.0-r10.apk2025-12-04 13:54 3.2M 
[   ]gl2ps-static-1.4.2-r0.apk2025-10-12 21:33 42K 
[   ]gl2ps-doc-1.4.2-r0.apk2025-10-12 21:33 230K 
[   ]gl2ps-dev-1.4.2-r0.apk2025-10-12 21:33 4.3K 
[   ]gl2ps-1.4.2-r0.apk2025-10-12 21:33 37K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 22:36 52K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 22:36 379K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 22:36 19K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 22:36 17K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 22:36 367K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 20:06 5.7K 
[   ]git2json-0.2.3-r8.apk2024-10-25 20:06 7.4K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 20:06 17K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 20:06 15K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 20:06 42K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 20:06 5.0K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 20:06 24K 
[   ]git-quick-stats-doc-2.8.0-r0.apk2025-09-11 08:18 3.5K 
[   ]git-quick-stats-2.8.0-r0.apk2025-09-11 08:18 15K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:38 6.2K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:38 862K 
[   ]git-extras-doc-7.4.0-r0.apk2025-07-24 01:27 65K 
[   ]git-extras-bash-completion-7.4.0-r0.apk2025-07-24 01:27 2.9K 
[   ]git-extras-7.4.0-r0.apk2025-07-24 01:27 57K 
[   ]git-bug-zsh-completion-0.8.1-r6.apk2025-12-04 13:54 4.1K 
[   ]git-bug-fish-completion-0.8.1-r6.apk2025-12-04 13:54 4.3K 
[   ]git-bug-doc-0.8.1-r6.apk2025-12-04 13:54 17K 
[   ]git-bug-bash-completion-0.8.1-r6.apk2025-12-04 13:54 5.3K 
[   ]git-bug-0.8.1-r6.apk2025-12-04 13:54 9.8M 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 20:06 61K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 20:06 53K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 20:06 195K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 20:06 207K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 20:06 125K 
[   ]ginger-2.4.0-r7.apk2024-10-25 20:06 257K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 07:49 98K 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 07:49 68K 
[   ]ginac-1.8.9-r0.apk2025-05-25 07:49 1.1M 
[   ]gimp-plugin-gmic-3.6.0-r1.apk2025-10-25 08:43 1.3M 
[   ]ghq-zsh-completion-1.8.0-r6.apk2025-12-04 13:54 2.5K 
[   ]ghq-fish-completion-1.8.0-r6.apk2025-12-04 13:54 2.6K 
[   ]ghq-doc-1.8.0-r6.apk2025-12-04 13:54 5.5K 
[   ]ghq-bash-completion-1.8.0-r6.apk2025-12-04 13:54 1.8K 
[   ]ghq-1.8.0-r6.apk2025-12-04 13:54 3.7M 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 20:06 39K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 20:06 58K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 20:06 37K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 21:40 229K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 21:40 497K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 21:40 715K 
[   ]getssl-2.48-r0.apk2024-10-25 20:06 82K 
[   ]getmail6-pyc-6.19.10-r0.apk2025-08-19 11:29 103K 
[   ]getmail6-doc-6.19.10-r0.apk2025-08-19 11:29 139K 
[   ]getmail6-6.19.10-r0.apk2025-08-19 11:29 70K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 20:06 4.6M 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 20:06 13K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 20:06 3.0K 
[   ]geonames-0.3.1-r2.apk2024-10-25 20:06 827K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 20:06 2.0K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 20:06 7.7K 
[   ]geomyidae-0.34-r2.apk2024-10-25 20:06 13K 
[   ]geodns-openrc-3.3.0-r18.apk2025-12-04 13:54 1.8K 
[   ]geodns-logs-3.3.0-r18.apk2025-12-04 13:54 4.4M 
[   ]geodns-3.3.0-r18.apk2025-12-04 13:54 4.8M 
[   ]geoclue-stumbler-1.1-r0.apk2025-10-04 17:03 41K 
[   ]genact-1.4.2-r0.apk2024-10-25 20:06 1.4M 
[   ]gede-2.22.1-r0.apk2025-11-02 20:48 380K 
[   ]gearmand-openrc-1.1.22-r0.apk2025-09-05 06:56 1.8K 
[   ]gearmand-doc-1.1.22-r0.apk2025-09-05 06:56 189K 
[   ]gearmand-1.1.22-r0.apk2025-09-05 06:56 159K 
[   ]gearman-libs-1.1.22-r0.apk2025-09-05 06:56 72K 
[   ]gearman-dev-1.1.22-r0.apk2025-09-05 06:56 1.0M 
[   ]gdcm-doc-pdf-3.2.2-r3.apk2025-12-02 04:28 14M 
[   ]gdcm-doc-html-3.2.2-r3.apk2025-12-02 04:28 8.9M 
[   ]gdcm-doc-3.2.2-r3.apk2025-12-02 04:28 55K 
[   ]gdcm-dev-3.2.2-r3.apk2025-12-02 04:28 450K 
[   ]gdcm-3.2.2-r3.apk2025-12-02 04:28 426K 
[   ]gcli-doc-2.9.1-r0.apk2025-11-09 16:39 38K 
[   ]gcli-2.9.1-r0.apk2025-11-09 16:39 124K 
[   ]gb-0.4.4-r36.apk2025-12-04 13:54 7.1M 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 20:06 419K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 20:06 277K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 20:06 2.4K 
[   ]gaupol-1.12-r2.apk2024-10-25 20:06 276K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 20:06 2.8K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 20:06 9.1K 
[   ]gatling-0.16-r6.apk2024-10-25 20:06 151K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 20:06 17K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 20:06 78K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 20:06 14K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 20:06 89K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-05 23:21 7.5K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-05 23:21 5.1K 
[   ]gamemode-1.8.2-r0.apk2025-02-05 23:21 73K 
[   ]game-devices-udev-0.25-r0.apk2025-10-23 05:04 6.9K 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 10:33 4.3K 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 10:33 6.6M 
[   ]gambit-4.9.5-r1.apk2025-04-07 10:33 7.5M 
[   ]fynedesk-0.4.0-r2.apk2025-12-04 13:54 13M 
[   ]fxload-2008.10.13-r0.apk2025-12-06 23:07 8.4K 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 20:06 1.1M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 20:06 5.5M 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 20:06 89K 
[   ]fusesoc-2.3-r0.apk2024-10-25 20:06 46K 
[   ]fuseiso-doc-20070708-r0.apk2025-04-14 01:12 2.6K 
[   ]fuseiso-20070708-r0.apk2025-04-14 01:12 16K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 20:06 1.7K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 20:06 21K 
[   ]fungw-tcl-1.2.2-r0.apk2025-09-19 18:24 12K 
[   ]fungw-python3-1.2.2-r0.apk2025-09-19 18:24 25K 
[   ]fungw-perl-1.2.2-r0.apk2025-09-19 18:24 47K 
[   ]fungw-mujs-1.2.2-r0.apk2025-09-19 18:24 15K 
[   ]fungw-lua-1.2.2-r0.apk2025-09-19 18:24 13K 
[   ]fungw-fawk-1.2.2-r0.apk2025-09-19 18:24 108K 
[   ]fungw-duktape-1.2.2-r0.apk2025-09-19 18:24 15K 
[   ]fungw-doc-1.2.2-r0.apk2025-09-19 18:24 13K 
[   ]fungw-dev-1.2.2-r0.apk2025-09-19 18:24 7.8K 
[   ]fungw-cli-1.2.2-r0.apk2025-09-19 18:24 22K 
[   ]fungw-c-1.2.2-r0.apk2025-09-19 18:24 7.7K 
[   ]fungw-1.2.2-r0.apk2025-09-19 18:24 13K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 20:06 22K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 20:06 7.9K 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 20:06 855K 
[   ]freshrss-themes-1.27.1-r0.apk2025-11-03 04:29 1.5M 
[   ]freshrss-sqlite-1.27.1-r0.apk2025-11-03 04:29 1.3K 
[   ]freshrss-pgsql-1.27.1-r0.apk2025-11-03 04:29 1.3K 
[   ]freshrss-openrc-1.27.1-r0.apk2025-11-03 04:29 2.5K 
[   ]freshrss-mysql-1.27.1-r0.apk2025-11-03 04:29 1.3K 
[   ]freshrss-lang-1.27.1-r0.apk2025-11-03 04:29 499K 
[   ]freshrss-doc-1.27.1-r0.apk2025-11-03 04:29 846K 
[   ]freshrss-1.27.1-r0.apk2025-11-03 04:29 1.6M 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 20:06 1.2M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 20:06 2.5K 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 20:06 3.5M 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 20:06 104K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 20:06 195K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 20:06 450K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 20:06 54K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 20:06 9.6K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 20:06 22K 
[   ]freealut-1.1.0-r1.apk2024-10-25 20:06 17K 
[   ]fq-0.16.0-r0.apk2025-12-12 19:57 4.5M 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 20:06 5.6K 
[   ]fpp-0.9.5-r0.apk2024-10-25 20:06 29K 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-19 22:34 1.7M 
[   ]fplll-static-5.5.0-r0.apk2024-11-19 22:34 7.8M 
[   ]fplll-libs-5.5.0-r0.apk2024-11-19 22:34 6.1M 
[   ]fplll-dev-5.5.0-r0.apk2024-11-19 22:34 78K 
[   ]fplll-5.5.0-r0.apk2024-11-19 22:34 46K 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 20:06 6.2M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-25 20:06 1.2M 
[   ]fpc-3.2.2-r4.apk2024-10-25 20:06 69M 
[   ]formiko-pyc-1.5.0-r0.apk2025-08-15 09:06 62K 
[   ]formiko-doc-1.5.0-r0.apk2025-08-15 09:06 8.5K 
[   ]formiko-1.5.0-r0.apk2025-08-15 09:06 107K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 20:06 1.5K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 20:06 3.9K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 20:06 33K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 20:06 58K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 20:06 568K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 20:06 199K 
[   ]font-terminus-ttf-4.49.3-r0.apk2025-07-15 08:22 538K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 20:06 62K 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 20:06 430K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 20:06 2.0M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 20:06 24K 
[   ]font-openmoji-16.0.0-r0.apk2025-08-11 06:31 1.4M 
[   ]font-monocraft-4.0-r0.apk2024-10-25 20:06 677K 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 20:06 2.3M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 20:06 2.7M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 20:06 2.1M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 20:06 2.1M 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 20:06 2.2M 
[   ]font-monaspace-1.101-r0.apk2024-10-25 20:06 1.5K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 20:06 652K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 20:06 852K 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 20:06 281K 
[   ]font-hanazono-20170904-r2.apk2025-09-15 13:00 29M 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 20:06 205K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 20:06 118K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 20:06 145K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 20:06 836K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 20:06 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 20:06 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 20:06 316K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 20:06 316K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 20:06 5.5K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 20:06 1.2K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 20:06 110K 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 20:06 251K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 20:06 1.0M 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 20:06 249K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 20:06 626K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 20:06 792K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 14:36 507K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 14:36 526K 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 14:36 1.3K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 20:06 18M 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-12 12:25 357K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 20:06 264K 
[   ]font-andika-six-6.210-r0.apk2025-09-28 11:20 1.5M 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 20:06 8.5K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 20:06 306K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 20:06 4.6K 
[   ]fnf-0.1-r0.apk2024-10-25 20:06 15K 
[   ]flowd-openrc-0.9.1-r11.apk2025-06-30 07:14 1.9K 
[   ]flowd-doc-0.9.1-r11.apk2025-06-30 07:14 10K 
[   ]flowd-dev-0.9.1-r11.apk2025-06-30 07:14 8.1K 
[   ]flowd-0.9.1-r11.apk2025-06-30 07:14 84K 
[   ]flint-dev-3.4.0-r0.apk2025-11-26 08:23 316K 
[   ]flint-3.4.0-r0.apk2025-11-26 08:23 3.9M 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:06 2.2K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:06 1.9K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:06 6.0K 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:06 2.1K 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:06 1.2M 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 20:06 4.3K 
[   ]flare-game-1.14-r0.apk2024-10-25 20:06 2.2K 
[   ]flare-engine-doc-1.14-r1.apk2025-11-05 11:39 2.5K 
[   ]flare-engine-1.14-r1.apk2025-11-05 11:39 4.4M 
[   ]flann-doc-1.9.2-r1.apk2025-02-17 12:07 2.5K 
[   ]flann-dev-1.9.2-r1.apk2025-02-17 12:07 1.3M 
[   ]flann-1.9.2-r1.apk2025-02-17 12:07 1.5M 
[   ]flamelens-doc-0.3.1-r0.apk2025-04-29 19:46 3.7K 
[   ]flamelens-0.3.1-r0.apk2025-04-29 19:46 1.2M 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 20:06 2.1K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 20:06 675K 
[   ]firehol-3.1.7-r2.apk2024-10-25 20:06 85K 
[   ]finger-doc-0.5-r0.apk2024-10-25 20:06 3.8K 
[   ]finger-0.5-r0.apk2024-10-25 20:06 6.4K 
[   ]findtow-0.1-r0.apk2024-10-25 20:06 4.5K 
[   ]filite-0.3.0-r2.apk2024-10-25 20:06 1.1M 
[   ]fileshelter-openrc-6.2.0-r4.apk2025-09-27 22:22 1.7K 
[   ]fileshelter-6.2.0-r4.apk2025-09-27 22:22 300K 
[   ]filebrowser-openrc-2.27.0-r16.apk2025-12-04 13:54 1.8K 
[   ]filebrowser-2.27.0-r16.apk2025-12-04 13:54 7.4M 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 20:06 3.5K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 20:06 2.1K 
[   ]fildesh-0.2.0-r0.apk2024-10-25 20:06 64K 
[   ]fheroes2-lang-1.1.12-r0.apk2025-11-09 15:39 1.7M 
[   ]fheroes2-1.1.12-r0.apk2025-11-09 15:39 1.7M 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 20:06 4.5K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 20:06 3.5K 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 20:06 3.6K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 20:06 1.5M 
[   ]ffms2-doc-5.0-r2.apk2025-09-27 13:41 30K 
[   ]ffms2-dev-5.0-r2.apk2025-09-27 13:41 7.5K 
[   ]ffms2-5.0-r2.apk2025-09-27 13:41 70K 
[   ]ffmpeg4-libswscale-4.4.5-r4.apk2025-10-19 09:50 144K 
[   ]ffmpeg4-libswresample-4.4.5-r4.apk2025-10-19 09:50 42K 
[   ]ffmpeg4-libpostproc-4.4.5-r4.apk2025-10-19 09:50 22K 
[   ]ffmpeg4-libavutil-4.4.5-r4.apk2025-10-19 09:50 293K 
[   ]ffmpeg4-libavformat-4.4.5-r4.apk2025-10-19 09:50 1.2M 
[   ]ffmpeg4-libavfilter-4.4.5-r4.apk2025-10-19 09:50 1.4M 
[   ]ffmpeg4-libavdevice-4.4.5-r4.apk2025-10-19 09:50 47K 
[   ]ffmpeg4-libavcodec-4.4.5-r4.apk2025-10-19 09:50 6.3M 
[   ]ffmpeg4-dev-4.4.5-r4.apk2025-10-19 09:50 281K 
[   ]ffmpeg4-4.4.5-r4.apk2025-10-19 09:50 32K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 20:06 345K 
[   ]fff-doc-2.2-r0.apk2024-10-25 20:06 9.0K 
[   ]fff-2.2-r0.apk2024-10-25 20:06 11K 
[   ]femto-doc-2.24.1-r0.apk2025-09-06 08:34 48K 
[   ]femto-2.24.1-r0.apk2025-09-06 08:34 66K 
[   ]felix-2.16.1-r0.apk2025-05-16 08:31 679K 
[   ]featherpad-lang-1.6.2-r0.apk2025-11-28 19:40 485K 
[   ]featherpad-1.6.2-r0.apk2025-11-28 19:40 689K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 20:06 60K 
[   ]fceux-doc-2.6.6-r4.apk2025-09-27 13:41 105K 
[   ]fceux-2.6.6-r4.apk2025-09-27 13:41 2.9M 
[   ]fbdebug-1.0.1-r0.apk2025-12-19 21:47 5.3K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 20:06 2.2K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 20:06 4.3K 
[   ]fava-pyc-1.28-r0.apk2024-10-25 20:06 164K 
[   ]fava-1.28-r0.apk2024-10-25 20:06 1.1M 
[   ]faust-vim-2.79.3-r0.apk2025-06-07 17:02 2.6K 
[   ]faust-tools-2.79.3-r0.apk2025-06-07 17:02 121K 
[   ]faust-static-2.79.3-r0.apk2025-06-07 17:02 540K 
[   ]faust-doc-2.79.3-r0.apk2025-06-07 17:02 17M 
[   ]faust-dev-2.79.3-r0.apk2025-06-07 17:02 1.4M 
[   ]faust-2.79.3-r0.apk2025-06-07 17:02 7.7M 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 20:06 3.0K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 20:06 2.3K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 20:06 13K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 20:06 15K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 20:06 8.9K 
[   ]fatrace-doc-0.18.0-r0.apk2025-07-27 19:28 3.3K 
[   ]fatrace-0.18.0-r0.apk2025-07-27 19:28 10K 
[   ]fathom-1.3.1-r18.apk2025-12-04 13:54 4.8M 
[   ]fatback-doc-1.3-r2.apk2024-10-25 20:06 16K 
[   ]fatback-1.3-r2.apk2024-10-25 20:06 27K 
[   ]fastd-openrc-23-r0.apk2025-01-27 21:33 1.7K 
[   ]fastd-doc-23-r0.apk2025-01-27 21:33 3.3K 
[   ]fastd-23-r0.apk2025-01-27 21:33 71K 
[   ]fast-double-parser-0.8.1-r0.apk2025-10-20 10:32 25K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 20:06 30K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 20:06 60K 
[   ]fabric-3.2.2-r1.apk2024-10-25 20:06 55K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 20:06 42K 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 20:06 6.7K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 20:06 40M 
[   ]extrace-doc-0.9-r0.apk2024-10-25 20:06 3.5K 
[   ]extrace-0.9-r0.apk2024-10-25 20:06 10K 
[   ]exercism-zsh-completion-3.2.0-r18.apk2025-12-04 13:54 2.1K 
[   ]exercism-fish-completion-3.2.0-r18.apk2025-12-04 13:54 2.4K 
[   ]exercism-bash-completion-3.2.0-r18.apk2025-12-04 13:54 2.0K 
[   ]exercism-3.2.0-r18.apk2025-12-04 13:54 4.1M 
[   ]exabgp-pyc-4.2.24-r1.apk2025-09-05 06:56 778K 
[   ]exabgp-openrc-4.2.24-r1.apk2025-09-05 06:56 2.2K 
[   ]exabgp-doc-4.2.24-r1.apk2025-09-05 06:56 8.1K 
[   ]exabgp-4.2.24-r1.apk2025-09-05 06:56 385K 
[   ]eww-0.6.0-r0.apk2025-11-09 19:44 3.1M 
[   ]evolution-on-3.24.4-r1.apk2025-09-18 17:36 10K 
[   ]evolution-etesync-lang-1.1.1-r0.apk2025-09-27 19:40 19K 
[   ]evolution-etesync-1.1.1-r0.apk2025-09-27 19:40 50K 
[   ]eva-0.3.1-r2.apk2024-10-25 20:06 623K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 20:06 45K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 20:06 560K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 20:06 549K 
[   ]esptool-4.8.1-r0.apk2024-10-25 20:06 424K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 20:06 1.8K 
[   ]espeakup-0.90-r2.apk2024-10-25 20:06 11K 
[   ]errands-lang-46.2.9-r0.apk2025-10-23 08:13 73K 
[   ]errands-46.2.9-r0.apk2025-10-23 08:13 84K 
[   ]ergo-ldap-doc-0.0.1-r22.apk2025-12-04 13:54 2.3K 
[   ]ergo-ldap-0.0.1-r22.apk2025-12-04 13:54 2.2M 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 20:06 24K 
[   ]epr-2.4.15-r1.apk2024-10-25 20:06 16K 
[   ]epoch-1.3.0-r2.apk2024-10-25 20:06 61K 
[   ]epic6-script-0_git20250821-r0.apk2025-09-05 06:56 149K 
[   ]epic6-doc-0_git20250821-r0.apk2025-09-05 06:56 17K 
[   ]epic6-0_git20250821-r0.apk2025-09-05 06:56 373K 
[   ]envsubst-0.1-r1.apk2024-10-25 20:06 4.2K 
[   ]envconsul-0.13.4-r1.apk2025-12-04 13:54 4.8M 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 20:06 3.5K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 20:06 7.3K 
[   ]enjoy-0.3-r1.apk2024-10-25 20:06 11K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 07:49 2.7K 
[   ]endlessh-1.1-r1.apk2025-05-25 07:49 9.4K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 21:40 203K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 21:40 68K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 21:40 46K 
[   ]endeavour-43.0-r2.apk2024-12-08 21:40 178K 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2025-03-11 17:54 3.2M 
[   ]emulationstation-2.11.2-r1.apk2025-03-11 17:54 1.2M 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 20:06 1.9K 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 20:06 2.3K 
[   ]empede-0.2.3-r0.apk2024-10-25 20:06 1.7M 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-04-30 08:17 14K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-04-30 08:17 18K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 05:42 18K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 05:42 11K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 20:06 19K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 20:06 18K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 20:06 29K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 20:06 6.6K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-12 12:21 2.3K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-12 12:21 425K 
[   ]emacs-llama-1.0.2-r0.apk2025-11-02 14:18 13K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 20:06 46K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 20:06 9.8K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 20:06 815K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 20:06 16K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 20:06 62K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 20:06 15K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 20:06 37K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 05:13 291K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 05:42 10K 
[   ]emacs-embark-1.1-r0.apk2025-04-23 05:42 111K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 20:06 4.3K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 20:06 17K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 20:06 5.9K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 20:06 6.1K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 20:06 23K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 05:13 91K 
[   ]emacs-derl-0_git20231004-r1.apk2025-07-29 04:35 23K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 20:06 138K 
[   ]emacs-company-wubi-0_git20161031-r0.apk2025-12-12 10:06 1.1M 
[   ]emacs-company-1.0.2-r0.apk2025-12-12 10:06 159K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 20:06 14K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 20:06 55K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 05:42 3.8K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 20:06 43K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 20:06 23K 
[   ]eludris-doc-0.3.3-r1.apk2024-10-25 20:06 2.3K 
[   ]eludris-0.3.3-r1.apk2024-10-25 20:06 1.7M 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 20:06 108K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 20:06 108K 
[   ]elementary-videos-lang-8.0.2-r0.apk2025-09-02 02:28 83K 
[   ]elementary-videos-8.0.2-r0.apk2025-09-02 02:28 111K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 20:36 1.5M 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 22:07 83K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-06-15 04:01 1.8K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-06-15 04:01 74K 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-06-15 04:01 77K 
[   ]elementary-photos-lang-8.0.1-r0.apk2024-12-02 22:59 1.0M 
[   ]elementary-photos-8.0.1-r0.apk2024-12-02 22:59 1.1M 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:05 47K 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:05 71K 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-14 21:13 5.0M 
[   ]elementary-feedback-lang-8.1.0-r0.apk2025-11-22 15:38 48K 
[   ]elementary-feedback-8.1.0-r0.apk2025-11-22 15:38 46K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-24 22:57 28K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-24 22:57 84K 
[   ]elementary-camera-lang-8.0.2-r0.apk2025-09-02 02:25 35K 
[   ]elementary-camera-8.0.2-r0.apk2025-09-02 02:25 85K 
[   ]elementary-calculator-lang-8.0.1-r0.apk2025-09-02 02:29 59K 
[   ]elementary-calculator-8.0.1-r0.apk2025-09-02 02:29 70K 
[   ]eiwd-openrc-3.10-r0.apk2025-10-07 21:03 1.9K 
[   ]eiwd-doc-3.10-r0.apk2025-10-07 21:03 21K 
[   ]eiwd-3.10-r0.apk2025-10-07 21:03 790K 
[   ]efl-gdb-1.28.1-r2.apk2025-03-23 15:03 1.7K 
[   ]efl-dev-1.28.1-r2.apk2025-03-23 15:03 1.8M 
[   ]efl-1.28.1-r2.apk2025-03-23 15:03 34M 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 20:06 5.3K 
[   ]edward-1.1.0-r0.apk2024-10-25 20:06 1.9M 
[   ]edit-doc-1.2.1-r0.apk2025-10-16 13:17 2.2K 
[   ]edit-1.2.1-r0.apk2025-10-16 13:17 256K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 20:06 28K 
[   ]ecos-2.0.10-r0.apk2024-10-25 20:06 38K 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-25 10:17 38K 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-25 10:17 1.2M 
[   ]ecasound-2.9.3-r4.apk2025-02-25 10:17 653K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 20:06 4.2K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:22 380K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:22 27K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:22 773K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 20:06 3.1K 
[   ]dwl-0.7-r0.apk2024-10-25 20:06 26K 
[   ]dvisvgm-doc-3.4.4-r0.apk2025-05-18 22:44 26K 
[   ]dvisvgm-3.4.4-r0.apk2025-05-18 22:44 1.1M 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 20:06 1.4K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 20:06 7.6K 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 20:06 17K 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 20:06 5.1M 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 20:06 796K 
[   ]dum-0.1.20-r1.apk2025-03-29 14:14 357K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 20:06 20K 
[   ]duf-doc-0.9.1-r2.apk2025-12-04 13:54 4.7K 
[   ]duf-0.9.1-r2.apk2025-12-04 13:54 1.2M 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 20:06 9.1K 
[   ]duc-1.4.5-r0.apk2024-10-25 20:06 86K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 20:06 2.3K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 20:06 6.9K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 20:06 2.9K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 20:06 45K 
[   ]dstask-zsh-completion-0.27-r4.apk2025-12-04 13:54 1.7K 
[   ]dstask-import-0.27-r4.apk2025-12-04 13:54 3.5M 
[   ]dstask-fish-completion-0.27-r4.apk2025-12-04 13:54 1.7K 
[   ]dstask-bash-completion-0.27-r4.apk2025-12-04 13:54 2.2K 
[   ]dstask-0.27-r4.apk2025-12-04 13:54 1.5M 
[   ]dsp-doc-2.0-r2.apk2025-09-27 13:41 10K 
[   ]dsp-2.0-r2.apk2025-09-27 13:41 153K 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 17:28 57K 
[   ]drupal7-7.103-r0.apk2024-12-04 17:28 3.3M 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 20:06 379K 
[   ]dropwatch-doc-1.5.5-r2.apk2025-12-04 13:54 3.7K 
[   ]dropwatch-1.5.5-r2.apk2025-12-04 13:54 15K 
[   ]drone-cli-1.8.0-r15.apk2025-12-04 13:54 5.6M 
[   ]droidcam-gui-2.1.3-r3.apk2025-09-27 13:41 27K 
[   ]droidcam-2.1.3-r3.apk2025-09-27 13:41 18K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 06:53 2.3K 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 06:53 121K 
[   ]drogon-1.9.4-r2.apk2025-05-22 06:53 1.4M 
[   ]draw-0.1.1-r18.apk2025-12-04 13:54 1.0M 
[   ]draco-tools-1.5.7-r2.apk2025-02-17 12:07 1.2M 
[   ]draco-static-1.5.7-r2.apk2025-02-17 12:07 1.6M 
[   ]draco-dev-1.5.7-r2.apk2025-02-17 12:07 205K 
[   ]draco-1.5.7-r2.apk2025-02-17 12:07 780K 
[   ]downloader-cli-0.3.4-r2.apk2025-05-14 21:13 2.0K 
[   ]dotenv-linter-4.0.0-r0.apk2025-11-22 16:03 1.3M 
[   ]dooit-pyc-3.3.3-r0.apk2025-10-27 08:13 103K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:22 23K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:22 13K 
[   ]dooit-3.3.3-r0.apk2025-10-27 08:13 46K 
[   ]dockerize-0.9.6-r2.apk2025-12-04 13:54 3.4M 
[   ]docker-volume-local-persist-openrc-1.3.0-r38.apk2025-12-04 13:54 1.8K 
[   ]docker-volume-local-persist-1.3.0-r38.apk2025-12-04 13:54 2.6M 
[   ]doasedit-1.0.9-r0.apk2025-10-31 11:58 3.5K 
[   ]dnssec-tools-doc-2.2.3-r13.apk2025-06-30 07:14 317K 
[   ]dnssec-tools-dev-2.2.3-r13.apk2025-06-30 07:14 181K 
[   ]dnssec-tools-2.2.3-r13.apk2025-06-30 07:14 744K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 20:06 35K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 20:06 79K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 20:06 5.2K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 20:06 21K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 20:06 29K 
[   ]dnscontrol-doc-4.29.0-r0.apk2025-12-18 21:13 2.3K 
[   ]dnscontrol-4.29.0-r0.apk2025-12-18 21:13 16M 
[   ]dmenu-wl-doc-0.1-r0.apk2025-07-02 10:32 4.1K 
[   ]dmenu-wl-0.1-r0.apk2025-07-02 10:32 16K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:04 46K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:04 1.9K 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:04 25K 
[   ]dmarc-cat-0.15.0-r10.apk2025-12-04 13:54 2.8M 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 20:06 2.4M 
[   ]dlib-19.24.4-r0.apk2024-10-25 20:06 755K 
[   ]dive-0.13.0-r7.apk2025-12-04 13:54 3.9M 
[   ]dislocker-libs-0.7.3-r6.apk2025-07-24 01:27 45K 
[   ]dislocker-doc-0.7.3-r6.apk2025-07-24 01:27 6.0K 
[   ]dislocker-0.7.3-r6.apk2025-07-24 01:27 12K 
[   ]diskus-0.8.0-r0.apk2025-05-18 22:15 358K 
[   ]disfetch-3.7-r0.apk2024-10-25 20:06 8.3K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:49 18K 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:49 334K 
[   ]dhewm3-1.5.4-r0.apk2025-02-17 12:07 5.0M 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 20:06 5.7K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 20:06 2.8K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 20:06 37K 
[   ]dfl-sni-dev-0.3.0-r0.apk2025-08-21 07:47 5.0K 
[   ]dfl-sni-0.3.0-r0.apk2025-08-21 07:47 57K 
[   ]dfl-login1-dev-0.3.0-r0.apk2025-08-21 07:47 3.7K 
[   ]dfl-login1-0.3.0-r0.apk2025-08-21 07:47 33K 
[   ]dfl-ipc-dev-0.3.0-r0.apk2025-08-21 07:47 4.8K 
[   ]dfl-ipc-0.3.0-r0.apk2025-08-21 07:47 46K 
[   ]dfl-applications-dev-0.3.0-r0.apk2025-08-21 07:47 3.9K 
[   ]dfl-applications-0.3.0-r0.apk2025-08-21 07:47 63K 
[   ]dewduct-0.2.3-r0.apk2024-10-25 20:06 1.1M 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 20:06 13K 
[   ]devil-1.8.0-r0.apk2024-10-25 20:06 228K 
[   ]deviced-openrc-0_git20250427-r0.apk2025-07-05 20:03 1.7K 
[   ]deviced-dev-0_git20250427-r0.apk2025-07-05 20:03 26K 
[   ]deviced-0_git20250427-r0.apk2025-07-05 20:03 115K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 20:06 21K 
[   ]detox-2.0.0-r0.apk2024-10-25 20:06 111K 
[   ]desync-0.9.6-r10.apk2025-12-04 13:54 7.5M 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 20:06 2.9K 
[   ]desed-1.2.1-r1.apk2024-10-25 20:06 400K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 20:06 26K 
[   ]decoder-lang-0.7.0-r0.apk2025-04-10 13:22 59K 
[   ]decoder-0.7.0-r0.apk2025-04-10 13:22 2.0M 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 20:06 6.7K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 20:06 132K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 20:06 27K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 20:06 1.9K 
[   ]debconf-1.5.82-r0.apk2024-10-25 20:06 69K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 20:06 5.3K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 20:06 11K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 20:06 2.7K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 20:06 2.3K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 20:06 12K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 20:06 2.2K 
[   ]ddgr-2.2-r0.apk2024-10-25 20:06 20K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-19 11:44 19K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 20:06 62K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 20:06 6.6K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 20:06 28K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-04-07 22:06 1.7K 
[   ]dcmtk-doc-3.6.9-r0.apk2025-04-07 22:06 257K 
[   ]dcmtk-dev-3.6.9-r0.apk2025-04-07 22:06 1.6M 
[   ]dcmtk-3.6.9-r0.apk2025-04-07 22:06 1.2M 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 10:17 5.9K 
[   ]dbus-broker-37-r0.apk2025-06-17 10:17 85K 
[   ]dbmate-doc-2.28.0-r1.apk2025-12-04 13:54 2.3K 
[   ]dbmate-2.28.0-r1.apk2025-12-04 13:54 11M 
[   ]davmail-6.5.1-r0.apk2025-11-14 21:17 8.3M 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 20:06 2.1K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 20:06 11K 
[   ]dasht-2.4.0-r0.apk2024-10-25 20:06 14K 
[   ]darts-clone-dev-0.32h-r0.apk2025-07-06 07:09 13K 
[   ]darts-clone-0.32h-r0.apk2025-07-06 07:09 37K 
[   ]darkreader-4.9.110-r0.apk2025-08-21 08:58 767K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 20:06 2.3K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 20:06 1.9K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 20:06 8.7K 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 20:06 2.2K 
[   ]daktilo-0.6.0-r0.apk2024-10-25 20:06 1.8M 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 20:06 2.0K 
[   ]daemontools-0.76-r3.apk2024-10-25 20:06 51K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 20:06 219K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 20:06 6.7K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 20:06 2.3K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 20:06 6.3K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 20:06 8.6K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 20:06 17K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 20:06 48K 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-08 13:13 60K 
[   ]cvise-2.11.0-r0.apk2025-03-08 13:13 4.6M 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 20:06 3.5K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 20:06 6.6K 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 20:06 329K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 20:06 1.1M 
[   ]curtail-lang-1.13.0-r0.apk2025-07-05 20:39 78K 
[   ]curtail-1.13.0-r0.apk2025-07-05 20:39 30K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 20:06 6.1K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 20:06 26K 
[   ]cups-pdf-3.0.2-r0.apk2025-07-04 21:11 22K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 20:06 89K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 20:06 3.8K 
[   ]csol-1.6.0-r0.apk2024-10-25 20:06 36K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 20:06 3.1K 
[   ]csmith-2.3.0-r2.apk2024-10-25 20:06 301K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 20:06 204K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 20:06 77K 
[   ]csfml-2.5.2-r0.apk2024-10-25 20:06 89K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 20:06 7.5K 
[   ]cscope-15.9-r1.apk2024-10-25 20:06 153K 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 11:34 13K 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 11:34 1.1M 
[   ]crowdsec-splunk-plugin-1.7.4-r0.apk2025-12-05 01:55 6.1M 
[   ]crowdsec-slack-plugin-1.7.4-r0.apk2025-12-05 01:55 6.1M 
[   ]crowdsec-sentinel-plugin-1.7.4-r0.apk2025-12-05 01:55 6.1M 
[   ]crowdsec-openrc-1.7.4-r0.apk2025-12-05 01:55 1.8K 
[   ]crowdsec-http-plugin-1.7.4-r0.apk2025-12-05 01:55 6.1M 
[   ]crowdsec-file-plugin-1.7.4-r0.apk2025-12-05 01:55 6.1M 
[   ]crowdsec-email-plugin-1.7.4-r0.apk2025-12-05 01:55 6.1M 
[   ]crowdsec-1.7.4-r0.apk2025-12-05 01:55 39M 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 20:06 39K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 20:06 30K 
[   ]crispy-doom-doc-7.1-r0.apk2025-09-24 02:49 107K 
[   ]crispy-doom-7.1-r0.apk2025-09-24 02:49 1.8M 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 20:06 91K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 20:06 8.7K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 20:06 31K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 20:06 2.9K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 20:06 47K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 20:06 30K 
[   ]cpufetch-doc-1.07-r0.apk2025-11-01 10:01 3.2K 
[   ]cpufetch-1.07-r0.apk2025-11-01 10:01 48K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-25 20:06 11K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-13 23:28 99K 
[   ]cpplint-2.0.2-r0.apk2025-04-13 23:28 80K 
[   ]cpp-httplib-doc-0.28.0-r0.apk2025-11-26 13:44 13K 
[   ]cpp-httplib-0.28.0-r0.apk2025-11-26 13:44 85K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 20:06 6.8K 
[   ]cpdf-doc-2.8.1-r0.apk2025-05-14 21:13 558K 
[   ]cpdf-2.8.1-r0.apk2025-05-14 21:13 2.1M 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 20:06 4.0K 
[   ]cowsay-3.04-r2.apk2024-10-25 20:06 18K 
[   ]cortex-tenant-openrc-1.15.7-r2.apk2025-12-04 13:54 2.0K 
[   ]cortex-tenant-1.15.7-r2.apk2025-12-04 13:54 4.2M 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 03:16 1.8K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 03:16 190K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 03:16 427K 
[   ]corosync-3.1.9-r0.apk2025-05-29 03:16 261K 
[   ]convert2json-yaml-json-2.4.1-r0.apk2025-12-15 16:19 283K 
[   ]convert2json-yaml-jaq-2.4.1-r0.apk2025-12-15 16:19 291K 
[   ]convert2json-yaml-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-xml-json-2.4.1-r0.apk2025-12-15 16:19 211K 
[   ]convert2json-xml-jaq-2.4.1-r0.apk2025-12-15 16:19 219K 
[   ]convert2json-xml-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-toml-json-2.4.1-r0.apk2025-12-15 16:19 255K 
[   ]convert2json-toml-jaq-2.4.1-r0.apk2025-12-15 16:19 263K 
[   ]convert2json-toml-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-rsv-json-2.4.1-r0.apk2025-12-15 16:19 174K 
[   ]convert2json-rsv-jaq-2.4.1-r0.apk2025-12-15 16:19 183K 
[   ]convert2json-rsv-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-plist-json-2.4.1-r0.apk2025-12-15 16:19 256K 
[   ]convert2json-plist-jaq-2.4.1-r0.apk2025-12-15 16:19 264K 
[   ]convert2json-plist-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-messagepack-json-2.4.1-r0.apk2025-12-15 16:19 213K 
[   ]convert2json-messagepack-jaq-2.4.1-r0.apk2025-12-15 16:19 221K 
[   ]convert2json-messagepack-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-json-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-jaq-2.4.1-r0.apk2025-12-15 16:19 1.4K 
[   ]convert2json-ini-json-2.4.1-r0.apk2025-12-15 16:19 197K 
[   ]convert2json-ini-jaq-2.4.1-r0.apk2025-12-15 16:19 205K 
[   ]convert2json-ini-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-doc-2.4.1-r0.apk2025-12-15 16:19 13K 
[   ]convert2json-csv-json-2.4.1-r0.apk2025-12-15 16:19 235K 
[   ]convert2json-csv-jaq-2.4.1-r0.apk2025-12-15 16:19 244K 
[   ]convert2json-csv-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-cbor-json-2.4.1-r0.apk2025-12-15 16:19 217K 
[   ]convert2json-cbor-jaq-2.4.1-r0.apk2025-12-15 16:19 226K 
[   ]convert2json-cbor-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-bson-json-2.4.1-r0.apk2025-12-15 16:19 254K 
[   ]convert2json-bson-jaq-2.4.1-r0.apk2025-12-15 16:19 261K 
[   ]convert2json-bson-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]convert2json-2.4.1-r0.apk2025-12-15 16:19 1.3K 
[   ]contractor-0.3.5-r0.apk2024-11-12 21:54 24K 
[   ]consul-replicate-0.4.0-r36.apk2025-12-04 13:54 2.9M 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 20:06 4.7K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 20:06 9.2K 
[   ]conserver-openrc-8.2.7-r0.apk2025-12-18 17:44 1.7K 
[   ]conserver-doc-8.2.7-r0.apk2025-12-18 17:44 28K 
[   ]conserver-8.2.7-r0.apk2025-12-18 17:44 132K 
[   ]conntracct-openrc-0.2.7-r36.apk2025-12-04 13:54 1.9K 
[   ]conntracct-0.2.7-r36.apk2025-12-04 13:54 5.0M 
[   ]comrak-doc-0.49.0-r0.apk2025-12-14 14:23 11K 
[   ]comrak-0.49.0-r0.apk2025-12-14 14:23 1.0M 
[   ]compiz-utils-0.9.14.2-r13.apk2025-11-29 00:00 3.3K 
[   ]compiz-pyc-0.9.14.2-r13.apk2025-11-29 00:00 111K 
[   ]compiz-lang-0.9.14.2-r13.apk2025-11-29 00:00 1.2M 
[   ]compiz-dev-0.9.14.2-r13.apk2025-11-29 00:00 117K 
[   ]compiz-0.9.14.2-r13.apk2025-11-29 00:00 5.5M 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 20:06 38K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 20:06 15K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 20:06 173K 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 20:06 244K 
[   ]commit-lsp-0.1.0-r0.apk2025-05-14 21:13 2.1M 
[   ]comics-downloader-gui-0.33.8-r15.apk2025-12-04 13:54 5.5M 
[   ]comics-downloader-0.33.8-r15.apk2025-12-04 13:54 3.8M 
[   ]comet-0.3.1-r0.apk2025-11-29 19:49 2.8M 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 20:06 4.2K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 20:06 2.7K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 20:06 4.0K 
[   ]codec2-dev-1.2.0-r1.apk2025-11-22 18:51 15K 
[   ]codec2-1.2.0-r1.apk2025-11-22 18:51 666K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 19:36 8.0K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 19:36 366K 
[   ]cocogitto-zsh-completion-6.5.0-r0.apk2025-11-02 18:21 3.2K 
[   ]cocogitto-fish-completion-6.5.0-r0.apk2025-11-02 18:21 3.8K 
[   ]cocogitto-doc-6.5.0-r0.apk2025-11-02 18:21 40K 
[   ]cocogitto-bash-completion-6.5.0-r0.apk2025-11-02 18:21 3.2K 
[   ]cocogitto-6.5.0-r0.apk2025-11-02 18:21 1.9M 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 20:06 16K 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 20:06 2.9K 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 20:06 6.8M 
[   ]cobang-lang-1.8.1-r0.apk2025-10-23 05:12 10K 
[   ]cobang-1.8.1-r0.apk2025-10-23 05:12 41K 
[   ]cmusfm-0.5.0-r1.apk2025-08-27 04:13 15K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 20:06 114K 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 20:06 33K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 20:06 1.0M 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 20:06 257K 
[   ]cloudfoundry-cli-8.7.9-r14.apk2025-12-04 13:54 8.8M 
[   ]cloudflared-openrc-2024.12.1-r11.apk2025-12-04 13:54 1.8K 
[   ]cloudflared-doc-2024.12.1-r11.apk2025-12-04 13:54 1.9K 
[   ]cloudflared-2024.12.1-r11.apk2025-12-04 13:54 9.0M 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 07:49 77K 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 07:49 1.2M 
[   ]cln-1.3.7-r1.apk2025-05-25 07:49 445K 
[   ]cliquer-tests-1.23-r0.apk2025-08-12 04:15 24K 
[   ]cliquer-static-1.23-r0.apk2025-08-12 04:15 25K 
[   ]cliquer-libs-1.23-r0.apk2025-08-12 04:15 23K 
[   ]cliquer-dev-1.23-r0.apk2025-08-12 04:15 7.5K 
[   ]cliquer-1.23-r0.apk2025-08-12 04:15 7.1K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 20:06 2.4K 
[   ]clipit-1.4.5-r3.apk2024-10-25 20:06 65K 
[   ]cliphist-fzf-0.7.0-r1.apk2025-12-04 13:54 1.8K 
[   ]cliphist-0.7.0-r1.apk2025-12-04 13:54 1.0M 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 20:06 6.5K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 20:06 45K 
[   ]click-pyc-0.5.2-r4.apk2025-02-17 12:07 175K 
[   ]click-doc-0.5.2-r4.apk2025-02-17 12:07 3.3K 
[   ]click-dev-0.5.2-r4.apk2025-02-17 12:07 9.1K 
[   ]click-0.5.2-r4.apk2025-02-17 12:07 155K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 20:06 4.6K 
[   ]clevis-doc-21-r0.apk2025-01-25 07:03 23K 
[   ]clevis-dbg-21-r0.apk2025-01-25 07:03 62K 
[   ]clevis-bash-completion-21-r0.apk2025-01-25 07:03 2.0K 
[   ]clevis-21-r0.apk2025-01-25 07:03 51K 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-12 12:08 5.9M 
[   ]clatd-1.6-r0.apk2024-10-25 20:06 13K 
[   ]ckb-next-dev-0.6.2-r1.apk2025-09-05 11:51 4.9K 
[   ]ckb-next-daemon-openrc-0.6.2-r1.apk2025-09-05 11:51 1.8K 
[   ]ckb-next-daemon-0.6.2-r1.apk2025-09-05 11:51 77K 
[   ]ckb-next-0.6.2-r1.apk2025-09-05 11:51 1.3M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 20:06 18K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 20:06 1.1M 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 20:06 20K 
[   ]cimg-3.4.1-r0.apk2024-10-25 20:06 826K 
[   ]cilium-cli-zsh-completion-0.16.13-r10.apk2025-12-04 13:54 4.0K 
[   ]cilium-cli-fish-completion-0.16.13-r10.apk2025-12-04 13:54 4.3K 
[   ]cilium-cli-bash-completion-0.16.13-r10.apk2025-12-04 13:54 5.1K 
[   ]cilium-cli-0.16.13-r10.apk2025-12-04 13:54 54M 
[   ]chocolate-doom-doc-3.1.1-r0.apk2025-08-19 01:30 233K 
[   ]chocolate-doom-3.1.1-r0.apk2025-08-19 01:30 1.6M 
[   ]chimerautils-dbg-15.0.2-r0.apk2025-12-08 17:20 3.1M 
[   ]chimerautils-15.0.2-r0.apk2025-12-08 17:20 1.2M 
[   ]chim-doc-1.1.2-r1.apk2024-10-25 20:06 2.8K 
[   ]chim-1.1.2-r1.apk2024-10-25 20:06 1.6M 
[   ]chess-tui-doc-2.0.0-r0.apk2025-12-13 01:11 2.2K 
[   ]chess-tui-2.0.0-r0.apk2025-12-13 01:11 1.4M 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 17:40 859K 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 17:40 2.1K 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 17:40 2.6M 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 20:06 4.1K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 20:06 369K 
[   ]chasquid-openrc-1.17.0-r0.apk2025-12-19 15:57 2.0K 
[   ]chasquid-doc-1.17.0-r0.apk2025-12-19 15:57 11K 
[   ]chasquid-1.17.0-r0.apk2025-12-19 15:57 11M 
[   ]charta-0.8.2-r1.apk2025-12-04 13:54 2.0M 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 20:06 27K 
[   ]charls-2.4.2-r0.apk2024-10-25 20:06 61K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 20:06 4.1K 
[   ]cgo-0.6.1-r1.apk2024-10-25 20:06 10K 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 20:06 132K 
[   ]cfssl-1.6.5-r10.apk2025-12-04 13:54 29M 
[   ]certstrap-1.3.0-r29.apk2025-12-04 13:54 2.3M 
[   ]certigo-1.16.0-r28.apk2025-12-04 13:54 3.7M 
[   ]certbot-dns-pdns-pyc-0.1.1-r1.apk2025-08-28 01:19 3.9K 
[   ]certbot-dns-pdns-0.1.1-r1.apk2025-08-28 01:19 8.6K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:06 4.2K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:06 9.3K 
[   ]certbot-dns-hetzner-pyc-2.0.1-r1.apk2025-10-07 21:03 6.5K 
[   ]certbot-dns-hetzner-2.0.1-r1.apk2025-10-07 21:03 10K 
[   ]cdogs-sdl-2.3.2-r0.apk2025-10-09 18:47 28M 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 20:05 128K 
[   ]cdist-7.0.0-r6.apk2024-10-25 20:05 511K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 20:05 35K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 20:05 235K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 20:05 864K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 20:05 14K 
[   ]cddlib-0.94m-r2.apk2024-10-25 20:05 169K 
[   ]cdba-server-1.0-r2.apk2024-10-25 20:05 20K 
[   ]cdba-1.0-r2.apk2024-10-25 20:05 7.9K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 20:05 8.8K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 20:05 3.3K 
[   ]ccze-0.2.1-r1.apk2024-10-25 20:05 72K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 20:05 31K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 20:05 53K 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 20:05 88K 
[   ]cc65-2.19-r0.apk2024-10-25 20:05 8.8M 
[   ]cava-0.10.6-r0.apk2025-09-12 08:10 48K 
[   ]catppuccin-whiskers-doc-2.5.1-r0.apk2025-11-29 19:00 2.3K 
[   ]catppuccin-whiskers-2.5.1-r0.apk2025-11-29 19:00 1.7M 
[   ]catfish-pyc-4.20.1-r0.apk2025-07-07 19:22 104K 
[   ]catfish-lang-4.20.1-r0.apk2025-07-07 19:22 167K 
[   ]catfish-doc-4.20.1-r0.apk2025-07-07 19:22 13K 
[   ]catfish-4.20.1-r0.apk2025-07-07 19:22 128K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 20:05 9.2K 
[   ]catdoc-0.95-r1.apk2024-10-25 20:05 110K 
[   ]castor-0.9.0-r2.apk2024-10-25 20:05 715K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-14 21:13 94K 
[   ]castero-0.9.5-r4.apk2025-05-14 21:13 50K 
[   ]cartero-lang-0.2.2-r0.apk2025-10-12 23:56 45K 
[   ]cartero-0.2.2-r0.apk2025-10-12 23:56 1.2M 
[   ]cargo-vendor-filterer-0.5.18-r0.apk2025-07-24 17:29 647K 
[   ]cargo-update-doc-18.0.0-r0.apk2025-10-28 07:24 8.5K 
[   ]cargo-update-18.0.0-r0.apk2025-10-28 07:24 1.2M 
[   ]cargo-udeps-doc-0.1.59-r0.apk2025-10-03 01:22 7.5K 
[   ]cargo-udeps-0.1.59-r0.apk2025-10-03 01:22 4.9M 
[   ]cargo-shuttle-zsh-completion-0.56.6-r0.apk2025-07-29 07:57 7.9K 
[   ]cargo-shuttle-fish-completion-0.56.6-r0.apk2025-07-29 07:57 9.0K 
[   ]cargo-shuttle-doc-0.56.6-r0.apk2025-07-29 07:57 9.0K 
[   ]cargo-shuttle-bash-completion-0.56.6-r0.apk2025-07-29 07:57 5.2K 
[   ]cargo-shuttle-0.56.6-r0.apk2025-07-29 07:57 5.0M 
[   ]cargo-show-asm-doc-0.2.53-r0.apk2025-10-30 00:23 10K 
[   ]cargo-show-asm-0.2.53-r0.apk2025-10-30 00:23 864K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 20:05 5.0K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 20:05 446K 
[   ]cargo-machete-doc-0.9.1-r0.apk2025-08-17 18:48 4.4K 
[   ]cargo-machete-0.9.1-r0.apk2025-08-17 18:48 1.3M 
[   ]cargo-generate-0.23.5-r0.apk2025-10-04 13:15 2.4M 
[   ]cargo-geiger-doc-0.13.0-r0.apk2025-10-10 17:34 7.7K 
[   ]cargo-geiger-0.13.0-r0.apk2025-10-10 17:34 5.3M 
[   ]cargo-crev-0.26.3-r0.apk2025-03-02 16:15 6.2M 
[   ]care-doc-2.3.0-r1.apk2024-10-25 20:05 7.9K 
[   ]care-2.3.0-r1.apk2024-10-25 20:05 88K 
[   ]captive-browser-doc-0_git20210801-r2.apk2025-12-04 13:54 3.7K 
[   ]captive-browser-0_git20210801-r2.apk2025-12-04 13:54 1.2M 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 20:05 4.4K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-14 10:07 37K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-14 10:07 43K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 20:05 24K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 20:05 34K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 20:05 3.2K 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 20:05 100K 
[   ]bzmenu-0.2.1-r3.apk2025-08-08 23:39 1.1M 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 20:05 2.5K 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 20:05 14K 
[   ]butane-0.25.1-r2.apk2025-12-04 13:54 3.2M 
[   ]burp-server-3.1.4-r0.apk2024-10-25 20:05 36K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 20:05 99K 
[   ]burp-3.1.4-r0.apk2024-10-25 20:05 165K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 20:05 29K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 20:05 21K 
[   ]budgie-session-lang-0.9.1-r0.apk2025-10-16 17:07 307K 
[   ]budgie-session-doc-0.9.1-r0.apk2025-10-16 17:07 5.3K 
[   ]budgie-session-0.9.1-r0.apk2025-10-16 17:07 100K 
[   ]budgie-screensaver-lang-5.1.0-r0.apk2025-10-16 17:07 240K 
[   ]budgie-screensaver-doc-5.1.0-r0.apk2025-10-16 17:07 3.3K 
[   ]budgie-screensaver-5.1.0-r0.apk2025-10-16 17:07 72K 
[   ]budgie-desktop-lang-10.9.2-r0.apk2025-10-16 17:07 586K 
[   ]budgie-desktop-doc-10.9.2-r0.apk2025-10-16 17:07 5.7K 
[   ]budgie-desktop-dev-10.9.2-r0.apk2025-10-16 17:07 18K 
[   ]budgie-desktop-10.9.2-r0.apk2025-10-16 17:07 1.2M 
[   ]budgie-control-center-lang-1.4.0-r0.apk2025-10-16 17:07 3.9M 
[   ]budgie-control-center-bash-completion-1.4.0-r0.apk2025-10-16 17:07 2.3K 
[   ]budgie-control-center-1.4.0-r0.apk2025-10-16 17:07 2.9M 
[   ]btpd-doc-0.16-r2.apk2024-10-25 20:05 8.4K 
[   ]btpd-0.16-r2.apk2024-10-25 20:05 70K 
[   ]brltty-static-6.7-r1.apk2025-03-28 16:15 23K 
[   ]brltty-lang-6.7-r1.apk2025-03-28 16:15 149K 
[   ]brltty-doc-6.7-r1.apk2025-03-28 16:15 9.4K 
[   ]brltty-dev-6.7-r1.apk2025-03-28 16:15 140K 
[   ]brltty-6.7-r1.apk2025-03-28 16:15 2.0M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 20:05 1.7M 
[   ]brial-1.2.11-r4.apk2024-10-25 20:05 1.0M 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 20:05 7.1K 
[   ]boxes-2.3.1-r0.apk2024-10-25 20:05 75K 
[   ]botan2-libs-2.19.5-r0.apk2025-05-28 21:37 2.5M 
[   ]botan2-doc-2.19.5-r0.apk2025-05-28 21:37 306K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-28 21:37 311K 
[   ]botan2-2.19.5-r0.apk2025-05-28 21:37 388K 
[   ]boson-0_git20211219-r0.apk2024-10-25 20:05 16K 
[   ]bore-0.5.2-r0.apk2024-12-15 19:18 572K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 20:05 2.3K 
[   ]bootterm-0.5-r0.apk2024-10-25 20:05 18K 
[   ]bootloose-0.7.1-r16.apk2025-12-04 13:54 2.2M 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 20:05 8.2K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 20:05 19K 
[   ]bootchart2-systemd-0.14.9-r1.apk2025-11-17 12:54 2.2K 
[   ]bootchart2-0.14.9-r1.apk2025-11-17 12:54 136K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 20:05 620K 
[   ]bomctl-zsh-completion-0.1.9-r11.apk2025-12-04 13:54 4.1K 
[   ]bomctl-fish-completion-0.1.9-r11.apk2025-12-04 13:54 4.3K 
[   ]bomctl-bash-completion-0.1.9-r11.apk2025-12-04 13:54 5.1K 
[   ]bomctl-0.1.9-r11.apk2025-12-04 13:54 9.0M 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 20:05 119K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 20:05 200K 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 20:05 877K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 20:05 1.0M 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 20:05 8.0K 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 20:05 582K 
[   ]boinc-7.24.3-r0.apk2024-10-25 20:05 1.5M 
[   ]bochs-doc-2.8-r1.apk2025-02-20 18:48 139K 
[   ]bochs-2.8-r1.apk2025-02-20 18:48 937K 
[   ]blip-doc-0.10-r0.apk2024-10-25 20:05 30K 
[   ]blip-0.10-r0.apk2024-10-25 20:05 15K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 20:05 16K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 20:05 7.3K 
[   ]bkt-0.8.0-r0.apk2024-10-25 20:05 362K 
[   ]bitritter-0.1.1-r0.apk2024-10-25 20:05 2.1M 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 20:05 43K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 20:05 54K 
[   ]birdtray-1.11.4-r0.apk2025-12-12 17:03 431K 
[   ]biometryd-dev-0.3.3-r0.apk2025-12-10 10:25 13K 
[   ]biometryd-0.3.3-r0.apk2025-12-10 10:25 296K 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 08:34 9.1K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 08:34 22K 
[   ]biboumi-openrc-9.0-r9.apk2025-10-07 21:03 1.9K 
[   ]biboumi-doc-9.0-r9.apk2025-10-07 21:03 1.5K 
[   ]biboumi-9.0-r9.apk2025-10-07 21:03 270K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 20:05 2.3K 
[   ]bgs-0.8-r1.apk2024-10-25 20:05 5.8K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 20:05 6.3K 
[   ]bgpq4-1.15-r0.apk2024-10-25 20:05 34K 
[   ]bettercap-doc-2.41.5-r0.apk2025-12-16 01:51 14K 
[   ]bettercap-2.41.5-r0.apk2025-12-16 01:51 19M 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 20:05 18M 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 20:05 1.7K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 20:05 20K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 20:05 97K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 12:52 15K 
[   ]belr-5.3.105-r0.apk2025-02-25 12:52 102K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 12:52 54K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 12:52 630K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 12:48 193K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 12:48 11K 
[   ]belcard-5.3.105-r0.apk2025-02-25 12:48 12K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 20:05 1.9K 
[   ]bees-0.10-r2.apk2024-10-25 20:05 303K 
[   ]beard-doc-0.4-r0.apk2024-10-25 20:05 2.5K 
[   ]beard-0.4-r0.apk2024-10-25 20:05 3.1K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 20:05 131K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 20:05 3.0K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 20:05 7.4K 
[   ]bcg729-dev-1.1.1-r1.apk2025-10-15 08:20 3.6K 
[   ]bcg729-1.1.1-r1.apk2025-10-15 08:20 35K 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 10:18 1.8K 
[   ]batmon-0.0.1-r0.apk2024-10-25 20:05 444K 
[   ]bat-extras-prettybat-2024.08.24-r0.apk2025-10-12 20:47 5.6K 
[   ]bat-extras-doc-2024.08.24-r0.apk2025-10-12 20:47 16K 
[   ]bat-extras-batwatch-2024.08.24-r0.apk2025-10-12 20:47 5.8K 
[   ]bat-extras-batpipe-2024.08.24-r0.apk2025-10-12 20:47 7.0K 
[   ]bat-extras-batman-2024.08.24-r0.apk2025-10-12 20:47 4.8K 
[   ]bat-extras-batgrep-2024.08.24-r0.apk2025-10-12 20:47 7.4K 
[   ]bat-extras-batdiff-2024.08.24-r0.apk2025-10-12 20:47 5.4K 
[   ]bat-extras-2024.08.24-r0.apk2025-10-12 20:47 5.3K 
[   ]bash-pinyin-completion-rs-doc-1.0.3-r0.apk2025-12-10 10:25 14K 
[   ]bash-pinyin-completion-rs-1.0.3-r0.apk2025-12-10 10:25 312K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 20:05 5.1K 
[   ]base64c-0.2.1-r0.apk2024-10-25 20:05 4.2K 
[   ]bartib-1.0.1-r1.apk2024-10-25 20:05 360K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-17 12:07 13K 
[   ]barrier-2.4.0-r2.apk2025-02-17 12:07 910K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 20:05 2.8K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 20:05 122K 
[   ]barman-pyc-3.16.2-r0.apk2025-11-20 22:15 595K 
[   ]barman-doc-3.16.2-r0.apk2025-11-20 22:15 89K 
[   ]barman-bash-completion-3.16.2-r0.apk2025-11-20 22:15 1.6K 
[   ]barman-3.16.2-r0.apk2025-11-20 22:15 378K 
[   ]baresip-dev-4.1.0-r0.apk2025-10-03 07:59 16K 
[   ]baresip-4.1.0-r0.apk2025-10-03 07:59 1.0M 
[   ]bakelite-0.4.2-r0.apk2024-10-25 20:05 39K 
[   ]bake-2.5.1-r0.apk2024-10-25 20:05 109K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 21:41 1.4K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 21:41 1.3K 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 21:41 1.3K 
[   ]baikal-0.10.1-r1.apk2025-05-27 21:41 1.3M 
[   ]bacon-3.18.0-r0.apk2025-09-30 17:38 1.8M 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 20:05 55K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-03 08:44 136K 
[   ]b2-tools-4.3.2-r0.apk2025-05-03 08:44 72K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 20:05 777K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 11:09 42K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 11:09 702K 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 20:38 98K 
[   ]azote-1.14.0-r0.apk2024-12-14 20:38 7.6M 
[   ]aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk2025-12-04 13:54 2.3K 
[   ]aws-ecr-get-login-password-1.0.0_rc2-r1.apk2025-12-04 13:54 3.2M 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 20:05 255K 
[   ]avra-1.4.2-r0.apk2024-10-25 20:05 38K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 20:05 9.4K 
[   ]avarice-2.14-r4.apk2024-10-25 20:05 80K 
[   ]avahi2dns-openrc-0.1.0-r6.apk2025-12-04 13:54 1.8K 
[   ]avahi2dns-0.1.0-r6.apk2025-12-04 13:54 2.5M 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 20:05 14K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 20:05 23K 
[   ]autorestic-1.8.3-r10.apk2025-12-04 13:54 3.8M 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 20:05 54K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 20:05 12K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 20:05 35K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 20:05 5.5K 
[   ]authenticator-rs-lang-0.8.6-r0.apk2025-09-01 18:27 4.1K 
[   ]authenticator-rs-0.8.6-r0.apk2025-09-01 18:27 2.2M 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 20:05 34K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 20:05 1.5K 
[   ]aufs-util-20161219-r3.apk2024-10-25 20:05 191K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 20:05 9.6K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 20:05 2.0K 
[   ]atool-0.39.0-r4.apk2024-10-25 20:05 18K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 20:05 115K 
[   ]atac-0.18.1-r0.apk2024-11-25 21:43 4.8M 
[   ]asymptote-doc-3.04-r0.apk2025-05-31 06:51 3.1M 
[   ]asymptote-3.04-r0.apk2025-05-31 06:51 1.4M 
[   ]aspell-es-1.11-r0.apk2024-10-25 20:05 533K 
[   ]asdf-doc-0.18.0-r5.apk2025-12-04 13:54 2.2K 
[   ]asdf-0.18.0-r5.apk2025-12-04 13:54 1.6M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 20:05 92K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 20:05 1.6M 
[   ]arif-doc-0.3.0-r0.apk2025-11-30 10:11 19K 
[   ]arif-dev-0.3.0-r0.apk2025-11-30 10:11 3.5K 
[   ]arif-0.3.0-r0.apk2025-11-30 10:11 16K 
[   ]arduino-cli-1.3.1-r2.apk2025-12-04 13:54 8.5M 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 20:05 7.7K 
[   ]arc-theme-20221218-r0.apk2024-10-25 20:05 1.4K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 20:05 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 20:05 7.7K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 20:05 17K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 20:05 113K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 20:05 125K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 20:05 38K 
[   ]arc-lighter-20221218-r0.apk2024-10-25 20:05 1.8K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 20:05 4.4M 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 20:05 114K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 20:05 126K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 20:05 38K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 20:05 29K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 20:05 7.9K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 20:05 17K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 20:05 110K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 20:05 124K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 20:05 39K 
[   ]arc-darker-20221218-r0.apk2024-10-25 20:05 1.8K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 20:05 7.9K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 20:05 17K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 20:05 86K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 20:05 93K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 20:05 38K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 20:05 27K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 20:05 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 20:05 1.8K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 20:05 68K 
[   ]arc-20221218-r0.apk2024-10-25 20:05 1.7K 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 20:05 7.5K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 20:05 1.6M 
[   ]apx-doc-2.4.5-r5.apk2025-12-04 13:54 2.4K 
[   ]apx-2.4.5-r5.apk2025-12-04 13:54 3.5M 
[   ]apulse-doc-0.1.14-r0.apk2025-09-06 12:01 2.9K 
[   ]apulse-0.1.14-r0.apk2025-09-06 12:01 35K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:43 14K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:43 3.4K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:43 85K 
[   ]apt-swarm-zsh-completion-0.5.1-r1.apk2025-10-12 13:44 5.9K 
[   ]apt-swarm-openrc-0.5.1-r1.apk2025-10-12 13:44 2.0K 
[   ]apt-swarm-fish-completion-0.5.1-r1.apk2025-10-12 13:44 5.7K 
[   ]apt-swarm-bash-completion-0.5.1-r1.apk2025-10-12 13:44 4.2K 
[   ]apt-swarm-0.5.1-r1.apk2025-10-12 13:44 3.0M 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 20:05 4.6K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 20:05 9.4K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 20:05 13K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 20:05 9.9K 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 20:05 56K 
[   ]aprilsh-server-0.7.12-r10.apk2025-12-04 13:54 2.4M 
[   ]aprilsh-openrc-0.7.12-r10.apk2025-12-04 13:54 1.8K 
[   ]aprilsh-doc-0.7.12-r10.apk2025-12-04 13:54 14K 
[   ]aprilsh-client-0.7.12-r10.apk2025-12-04 13:54 3.2M 
[   ]aprilsh-0.7.12-r10.apk2025-12-04 13:54 1.6K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 21:55 258K 
[   ]appcenter-8.0.0-r0.apk2024-11-12 21:55 393K 
[   ]aports-glmr-0.2-r33.apk2025-12-04 13:54 2.6M 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 20:05 20K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 20:05 6.6K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-19 22:34 7.0K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-19 22:34 13K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 20:05 4.7K 
[   ]apache2-mod-perl-doc-2.0.13-r2.apk2025-06-30 07:14 304K 
[   ]apache2-mod-perl-dev-2.0.13-r2.apk2025-06-30 07:14 38K 
[   ]apache2-mod-perl-dbg-2.0.13-r2.apk2025-06-30 07:14 76K 
[   ]apache2-mod-perl-2.0.13-r2.apk2025-06-30 07:14 637K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 10:23 11K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 20:05 10K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 20:05 7.2K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r1.apk2025-05-22 06:53 293K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r1.apk2025-05-22 06:53 4.4K 
[   ]apache-mod-auth-openidc-2.4.16.11-r1.apk2025-05-22 06:53 228K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 20:05 56K 
[   ]aoetools-doc-37-r2.apk2025-01-14 08:23 14K 
[   ]aoetools-37-r2.apk2025-01-14 08:23 20K 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 13:54 24K 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 13:54 1.6M 
[   ]antibody-6.1.1-r33.apk2025-12-04 13:54 1.8M 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 20:05 65K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 20:05 10K 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 20:05 36K 
[   ]angband-4.2.5-r0.apk2024-10-25 20:05 23M 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-24 23:02 126K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-24 23:02 1.6K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-24 23:02 107K 
[   ]android-file-transfer-4.5-r0.apk2025-06-24 23:02 190K 
[   ]android-build-tools-15.0-r1.apk2025-12-11 22:06 1.7M 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 20:05 1.9M 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 20:05 202K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 20:05 59K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 20:05 285K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 20:05 18K 
[   ]anarch-1.0-r1.apk2024-10-25 20:05 95K 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 20:05 1.6M 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 20:05 281K 
[   ]amule-2.3.3-r13.apk2024-10-25 20:05 4.0M 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-19 11:44 19K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-19 11:44 4.1K 
[   ]ampy-1.1.0-r6.apk2025-03-19 11:44 15K 
[   ]amiitool-2-r2.apk2024-10-25 20:05 6.7K 
[   ]amdgpu_top-doc-0.11.0-r0.apk2025-09-03 00:49 4.0K 
[   ]amdgpu_top-0.11.0-r0.apk2025-09-03 00:49 6.9M 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 20:05 9.6K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 20:05 14K 
[   ]amber-0.4.0_alpha-r0.apk2025-09-18 02:18 542K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 20:05 10K 
[   ]alttab-1.7.1-r0.apk2024-10-25 20:05 37K 
[   ]alps-openrc-0_git20230807-r17.apk2025-12-04 13:54 2.0K 
[   ]alps-0_git20230807-r17.apk2025-12-04 13:54 5.5M 
[   ]alertmanager-irc-relay-openrc-0.5.1-r1.apk2025-09-05 06:56 2.0K 
[   ]alertmanager-irc-relay-0.5.1-r1.apk2025-09-05 06:56 4.8M 
[   ]alacritty-graphics-zsh-completion-0.16.1-r0.apk2025-12-18 00:03 3.4K 
[   ]alacritty-graphics-fish-completion-0.16.1-r0.apk2025-12-18 00:03 3.1K 
[   ]alacritty-graphics-doc-0.16.1-r0.apk2025-12-18 00:03 41K 
[   ]alacritty-graphics-bash-completion-0.16.1-r0.apk2025-12-18 00:03 2.8K 
[   ]alacritty-graphics-0.16.1-r0.apk2025-12-18 00:03 2.2M 
[   ]aide-doc-0.19.2-r0.apk2025-09-05 06:56 16K 
[   ]aide-0.19.2-r0.apk2025-09-05 06:56 87K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 20:05 4.1K 
[   ]agrep-0.8.0-r2.apk2024-10-25 20:05 8.3K 
[   ]aggregate6-pyc-1.0.14-r0.apk2025-10-14 11:05 5.8K 
[   ]aggregate6-doc-1.0.14-r0.apk2025-10-14 11:05 2.9K 
[   ]aggregate6-1.0.14-r0.apk2025-10-14 11:05 7.3K 
[   ]agate-openrc-3.3.19-r0.apk2025-09-23 19:42 2.0K 
[   ]agate-3.3.19-r0.apk2025-09-23 19:42 745K 
[   ]afew-doc-3.0.1-r0.apk2025-05-18 22:29 12K 
[   ]afew-3.0.1-r0.apk2025-05-18 22:29 73K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 20:05 14K 
[   ]afetch-2.2.0-r1.apk2024-10-25 20:05 9.4K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 20:05 7.3K 
[   ]advancescan-1.18-r1.apk2024-10-25 20:05 240K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 20:05 23K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 20:05 4.0K 
[   ]admesh-0.98.5-r0.apk2024-10-25 20:05 25K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 20:05 7.1K 
[   ]adjtimex-1.29-r0.apk2024-10-25 20:05 20K 
[   ]adguardhome-openrc-0.107.71-r0.apk2025-12-13 16:13 2.2K 
[   ]adguardhome-0.107.71-r0.apk2025-12-13 16:13 11M 
[   ]acmetool-doc-0.2.2-r18.apk2025-12-04 13:54 47K 
[   ]acmetool-0.2.2-r18.apk2025-12-04 13:54 4.5M 
[   ]acmeleaf-doc-0.2.0-r1.apk2025-12-04 13:54 5.3K 
[   ]acmeleaf-0.2.0-r1.apk2025-12-04 13:54 5.1M 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 20:05 49K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 20:05 155K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 21:40 5.1K 
[   ]abnfgen-0.21-r0.apk2025-05-27 21:40 17K 
[   ]abc-0_git20240102-r0.apk2024-10-25 20:05 4.8M 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 20:05 4.2K 
[   ]a2jmidid-9-r3.apk2024-10-25 20:05 27K 
[   ]APKINDEX.tar.gz2025-12-19 21:47 695K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-01 01:39 2.9K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-01 01:39 39K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-01 01:39 2.0K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-01 01:39 110K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-01 01:39 61K 
[   ]66-init-0.8.2.1-r0.apk2025-06-03 19:11 1.5K 
[   ]66-doc-0.8.2.1-r0.apk2025-06-03 19:11 190K 
[   ]66-dev-0.8.2.1-r0.apk2025-06-03 19:11 1.6M 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-03 19:11 756K 
[   ]66-0.8.2.1-r0.apk2025-06-03 19:11 338K 
[   ]3proxy-systemd-0.9.5-r1.apk2025-11-17 12:54 1.8K 
[   ]3proxy-openrc-0.9.5-r1.apk2025-11-17 12:54 1.7K 
[   ]3proxy-doc-0.9.5-r1.apk2025-11-17 12:54 26K 
[   ]3proxy-0.9.5-r1.apk2025-11-17 12:54 355K